KR20000052865A - Ic 및 그 제조방법 - Google Patents

Ic 및 그 제조방법 Download PDF

Info

Publication number
KR20000052865A
KR20000052865A KR1019990703711A KR19997003711A KR20000052865A KR 20000052865 A KR20000052865 A KR 20000052865A KR 1019990703711 A KR1019990703711 A KR 1019990703711A KR 19997003711 A KR19997003711 A KR 19997003711A KR 20000052865 A KR20000052865 A KR 20000052865A
Authority
KR
South Korea
Prior art keywords
wafer
dielectric
die
contact
dice
Prior art date
Application number
KR1019990703711A
Other languages
English (en)
Other versions
KR100377033B1 (ko
Inventor
시니어긴올레그
Original Assignee
트러시 테크날러지스 엘엘시
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 트러시 테크날러지스 엘엘시 filed Critical 트러시 테크날러지스 엘엘시
Publication of KR20000052865A publication Critical patent/KR20000052865A/ko
Application granted granted Critical
Publication of KR100377033B1 publication Critical patent/KR100377033B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/441Deposition of conductive or insulating materials for electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06593Mounting aids permanently on device; arrangements for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Abstract

본 발명은 IC에 관한 것으로, 수직 IC에서 사용하기에 적당한 후면 접촉패드를 제조하기 위해, 바이어는 웨이퍼(110)의 전면에 만들어지고, 유전체(140) 및 접촉패드 금속(150)은 바이어스로 디포지션되며, 웨이퍼 후면은 금속이 노출될 때까지 에칭되고(150C), 에칭이 바이어 바닥부(140A,140B)에서 절연체를 노출시키는 경우, 절연체는 웨이퍼 재료(예를 들어 실리콘)보다 느리게 에칭되며, 따라서 유전체가 에칭되고 금속이 노출되는 경우, 유전체는 노출된 금속 접촉패드 주위에서 웨이퍼 후면으로부터 아래로 일부 실시예에서 약 8㎛씩 돌출하며, 돌출되는 유전체부분은 접촉패드가 아래에 놓인 회로와 결합되는 경우 접촉패드와 웨이퍼 사이의 절연성을 향상시키는 것을 특징으로 한다.

Description

IC 및 그 제조방법{INTEGRATED CIRCUITS AND METHODS FOR THEIR FABRICATION}
칩의 "제 2" 측부에 접촉부를 형성하는 일부 방법이 Bertin외 다수의 미국특허 제5,270,261호(1993.12.14) "Three Dimensional Multichip Package Methods of Fabrication"에 개시되어 있다. 대안적인 방법이 요구되고 있다.
본 발명은 IC에 관한 것으로, 특히 칩의 상호연결과 반도체칩의 후면에 접촉 패드를 형성하는 방법, 및 회로 소자가 제조된 후에 IC를 박막화하는 방법에 관한 것이다.
도 1 내지 도 7, 도 8A 및 도 8B는 후면 접촉패드의 제조공정에서 반도체 웨이퍼을 나타내는 단면도,
도 9 및 도 10은 도 8A의 후면 접촉패드를 갖는, 수직 IC에서 함께 연결되는 세 개의 다이스를 나타내는 도면,
도 11 내지 도 13은 후면 접촉패드를 형성하는 공정에서 반도체 웨이퍼를 나타내는 단면도,
도 14 및 도 15는 수직 IC에서 연결된 세 개 다이스를 각각 나타내는 도면,
도 16은 후면 에칭에 의해 반도체 웨이퍼를 박막화하는 공정 및 장치를 나타내는 도면, 및
도 17 및 도 18은 각각의 다이스를 박막화하는 공정을 나타내는 도면이다.
본 발명은 반도체 다이(또는 "칩")에 후면 접촉패드를 형성하는 방법을 제공한다. 후면 접촉패드는 IC에 수직인 다중-다이를 형성하기 위해 다이와 밑에 놓인 다이를 연결하기에 적당하다. 본 발명은 또한 수직 IC를 제공한다. 또한, 본 발명은 다이스(dice)가 수직 IC의 일부가 될 것인지 여부에 관계없이 각각의 다이스를 박막화하는 방법을 제공한다.
본 발명의 일부 실시예에서, 후면(back-side) 접촉패드는 다음과 같이 형성된다. 반도체 웨이퍼의 전면(face-side)의 마스크된 에칭은 후면 접촉패드가 형성될 각각의 위치위에 바이어(via)를 형성한다. 유전체는 바이어위에 위치되고, 도전층(예를 들어, 금속)은 유전체위에 디포지션된다. 각각의 바이어내 도전층의 바닥부는 후면 접촉패드를 형성할 것이다.
IC가 형성된 후, 웨이퍼는 후면 접촉패드가 노출될 때까지 후면으로부터 에칭된다. 상기 에칭은 유전체를 에칭하는 것보다 더 빠르게 웨이퍼 기판을 에칭하여, 패드로부터 기판을 분리시킨다. 따라서, 유전체가 각각의 후면 접촉패드 주위에서 기판에 비례하여 아래로 돌출하도록, 웨이퍼 기판은 유전체에 비례하여 움푹 들어가 있다. 따라서, 유전체는 기판으로부터 후면 접촉 패드를 절연한다.
일부 실시예에서, 웨이퍼는 후면을 에칭하는 동안 비접촉 웨이퍼 홀더에 의해 유지된다. 웨이퍼의 전면은 홀더와 물리적으로 접촉하지 않는다. 따라서, 에칭하는 동안 웨이퍼를 보호하기 위해 임의의 보호층으로 전면을 덮을 필요가 없다. 또한, 홀더는 에칭으로부터 전면 회로를 보호한다.
웨이퍼는 후면 에칭전이나 그 후에 다이스로 다이싱된다.
일부 실시예에서, 후면 접촉패드는 수직적 집적화를 위해 사용된다.
일부 실시예에서, 다이스는 수직적 집적화를 위해 사용되지 않는다. 다이스는 그 수직크기를 감소시키기 위해 박막화된다.
본 발명의 범주내에서 다른 실시예 및 변형이 있게 된다.
도 1은 IC를 갖는 다이에 후면 접촉패드를 제공할 웨이퍼(104)의 일부를 나타낸다. 후면 접촉패드는 "수직 IC"를 형성하기 위해 다이를 밑에 놓인 다이와 연결하기에 적당하다. 두개의 다이스는 서로의 최상위에 스택되어, 회로에 의해 차지되는 측부를 감소시킨다.
웨이퍼(104)는 실리콘기판(110)을 포함한다. 일부 실시예에서, 웨이퍼는 기판(110)내, 기판 위, 및/또는 기판 아래에 트랜지스터, 커패시터, 레지스터, 도전회선, 및/또는 다른 회로소자, 또는 회로소자의 일부를 형성하기 위해 공정되었다. 다른 실시예에서, 임의의 회로소자의 어떠한 부분도 형성되지 않았다. 웨이퍼 두께는 생산될 다이의 두께보다 크다. 일부 실시예에서, 웨이퍼(104)는 600-750㎛두께이다(크기(W)는 600-750㎛이다). IC 제조가 완료될 때, 웨이퍼는 웨이퍼 후면(104B)의 에칭에 의해 박막화될 것이다. 다이의 최종 두께는 일부 실시예에서 100-350㎛ 또는 그 이하가 될 것이다. 다른 실시예에서는 다른 두께가 얻어진다. 제조의 시작단계에서 더 큰 웨이퍼 두께를 제공하는 것은 웨이퍼를 더 강하게 만들어, 제조율을 향상시킨다.
도 1 내지 도 3은 후면 접촉패드가 생산될 바이어를 형성하기 위해 웨이퍼 전면에 실리콘기판(110)을 에칭하는 것을 나타낸다. 도 1에 도시된 바와 같이, 알루미늄층(120)은 실리콘(110)위에 디포지션된다. 일부 실시예에서, 알루미늄층은 0.8-1.2㎛ 두께이고, 일부에서는 1㎛ 두께이다. 다른 실시예에서는 다른 두께가 사용된다. 포토레지스트(도시되지 않음)는 디포지션되고 패터닝된다. 알루미늄(120)은 실리콘(110)을 노출시키는 개구부(124)를 형성하기 위해 에칭된다. 일부 실시예에서, 알루미늄(120)은 산성액에 의해 에칭된다. 일부 실시예에서, 알루미늄(120)은 본 명세서에서 참조에 의해 구체화된 "VLSI Electronic Microstructure Science"의 "Plasma Processing for VLSI"(Norman G. Einspruch 편저, Academic Press, Inc. 1984)편에 기재된 Cl-기반 진공 플라즈마 에칭법에 의해 에칭된다. 다른 실시예에서는 다른 알루미늄 에칭법이 사용된다.
개구부(124)의 너비는 "A"로 나타내어진다. 일부 실시예에서, 개구부(124)는 직경(A)의 둘레가 된다. 다른 실시예에서, 개구부는 변(A)를 갖는 사각형이 된다. 다른 실시예에서 다른 개구부 형태가 사용된다. 개구부의 중심은 대응하는 후면 접촉부의 중심 바로 위에 위치된다. 개구부 크기는 개구부 아래에 형성될 후면 접촉패드의 크기보다 크지 않다.
개구부(124)와 유사한 다른 개구부가 각각의 후면 접촉패드 위치에서 동시에 형성된다. 다른 개구부는 동일한 웨이퍼에서 다른 모양과 크기를 가질 수 있다.
포토레지스트가 벗겨지고, 실리콘(110)은 마스크로서 알루미늄(120)과 함께 에칭된다(도 2). 일부 실시예에서, 실리콘 에칭법은 상기한 "Plasma Processing for VLSI"편에서 설명된 등방성 진공 플라즈마 에칭법이 된다. 다른 실시예에서 다른 공지된 에칭법이 사용된다. 에칭은 각각의 후면 접촉패드의 위치에 깊이(B)의 바이어들(130)을 형성한다. 오직 하나의 바이어(130)만이 도 2 및 도 3에 도시되어 있다. 바이어 깊이(B)는 적어도 생산될 다이의 최종 두께만큼 크다. 일부 실시예에서, 바이어(130)의 바닥부는 알루미늄(120)내 대응하는 개구부(124)와 동일한 모양과 크기를 갖는다. 바이어는 위로 올라갈수록 넓어진다. 도 3에 도시된 바와 같이, 일부 등방성 에칭 실시예에서, 바이어(130)의 최상부의 크기는 바이어의 바닥부의 각각의 크기보다 "2B"만큼 더 크다. 다른 실시예에서, 최상부의 크기는 A+2C이고, 이때 C ≥ 0, 예를 들어 0 ≤ C ≤ B이다. 만일 에칭이 완전히 이방성 수직 에칭인 경우(예를 들어 수평 에칭율이 0이다; 이것은 일부 공지된 반응성 이온 에칭에서 유효하다), C=0이다.
알루미늄(120)은 산성액 또는 상기 기술분야에서 공지된 또다른 방법에 의해 제거된다(도 3).
일부 실시예에서, 바이어(130)의 에칭에 사용된 마스크는 포토레지스트로 이루어지고; 알루미늄은 마스크에 사용되지 않는다. 그러나, 바이어 깊이(B)가 20㎛를 초과하는 일부 실시예에서, 마스크는 알루미늄 또는 바이어(130)의 실리콘 에칭에 충분히 저항력이 있는 또다른 재료로 형성된다.
유전체층(140)(도 4)은 웨이퍼위에 디포지션된다. 일부 실시예에서, 층(140)은 1-2㎛ 두께, 예를 들어 1㎛ 두께의 도핑되지 않은 이산화규소 및/또는 BPSG이다. 다른 실시예에서 다른 재료 또는 두께가 사용된다. 도 4에서, 층(140)은 대기압에서 CVD(chemical vapor deposition)에 의해 형성된 BPSG가 된다. 실리콘(110)의 최상부와 바이어(130)의 바닥부 사이의 거리는 B와 동일하게 유지된다. 가열 산화를 포함하는 다른 디포지션 기법이 다른 실시예에서 사용된다.
도전층(150)(도 5)은 유전체(140)위에 배치된다. 일부 실시예에서, 층(150)은 알루미늄, 금, 또는 니켈의 0.8-1.2㎛(예를 들어 1㎛)층이 된다; 이들 금속들은 진공 스퍼터링법에 의해 디포지션될 수 있다. 다른 실시예에서, 층(150)은 접촉패드를 위해 VLSI에서 사용된 일부 다른 금속 또는 합금, 예를 들어 실리콘 또는 구리 또는 양쪽 모두로 도핑된 알루미늄이 된다. 하나의 Al/Si/Cu 실시예에서 층(150)의 두께는 0.8-1.2㎛이다. 바이어(130)내 층(150)의 바닥부(150C)는 후면 접촉패드를 제공할 것이다.
바이어(130)내 바닥부(150C)는 대략 각각의 개구부(124)와 동일한 크기(A와 같은)를 갖는다(도 1).
이산화규소 유리층(160)(도 6)은 TEOS로부터 디포지션되고, 바이어(130)를 채우기 위해 웨이퍼상으로 늘여진다. 산화물(160)은 평평한 최상위면을 갖는다. 일부 실시예에서, 바이어내에 빈 공간이 전혀 없게 된다. 과잉 산화물(160)은 상기 산화물이 바이어(130) 외부가 아닌 내부에 남아있고 웨이퍼의 최상면이 평평하게 되도록 블랭킷 에칭에 의해 웨이퍼에서 에칭된다.
다른 실시예에서 바이어(130)를 채우기 위해 다른 재료 및 공정이 사용될 수 있다.
도전층(150)은 바이어(130)내 후면 접촉패드(150C)와 IC소자를 연결하는 도전회선(도시되지 않음)을 형성하기 위해 표준 포토리소그래픽기법에 의해 패터닝된다(상기 소자들의 형성은 이 시점에서 아직 완료되지 않을 수 있다).
일부 실시예에서, 층(150)은 산화물(160)의 디포지션후보다는 그전에 도 5의 스테이지에서 패터닝된다. 금속(150)을 패터닝하기 위해 사용된 포토레지스트 마스크(도시되지 않음)는 바이어(130)내 금속을 보호한다. 패터닝후, 마스크는 제거되고, 스핀-온(spin-on) 글래스(160)는 TEOS로부터 디포지션된다. 글래스(160)는 웨이퍼를 평평하게 하는데 사용된다.
IC의 제조를 완료하기 위해 상기 단계들이 수행되어, 다른 회로소자 및 특히 전면 접촉패드를 형성한다. 도 7의 실시예에서, 이들 단계는 다음과 같은 단계들을 포함한다:
1. 유전체층(170)의 CVD(도핑되지 않은 이산화규소 및/또는 BPSG, 1㎛ 두께). 층(170)은 회로 제조에 필요한 경우 패터닝된다.
2. 유전체(170)에 걸친 마지막 금속층(180)의 디포지션(예를 들어 0.8-1.2㎛의 Al/Si). 금속(180)은 전면 접촉패드를 제공하기 위해 패터닝된다. 도 7의 실시예에서, 그러한 하나의 패드(180C)가 후면 패드(150C)에 중첩된다.
3. 층(180)에 걸친 보호 유전체(190)의 디포지션(예를 들어 도핑되지 않은 이산화규소 및/또는 BPSG, 1㎛ 두께).
4. 금속(180)내 아래에 놓인 접촉패드를 노출시키기 위해 유전체(190)의 마스크된 에칭.
그리고, 웨이퍼(104)의 측면은 본 명세서에서 참조된 Plasma Process-Induced Damage에 대한 1996년 제 1 차 국제 심포지움중 O. Siniaguine의 "Plasma Jet Etching at Atmospheric Pressure for Semiconductor Production"(1996.5.13-14, 미국 캘리포니아, pp. 151-153)에서 설명한 대기압 플라즈마 에칭법에 의해 에칭된다. 적당한 에칭기로는 코네티컷 벧엘의 IPEC/Precision, Inc.에서 입수가능한 PaceJetⅡ(상표)가 있고, 후술된 부록에 설명되어 있다. 또한 본 명세서에서 참조된 "PACEJETⅡ - The Revolutionary, Enabling Technology for Material Removal"(IPEC/Precision, 1996) 참조. 적당한 대안적인 에칭기로는 "Plasma Jet Etching. Technology and Equipment. Silicon Wafer Thinning & Isotropical Etching at Atmospheric Pressure"(Az Corporation, 스위스 제네바, SEMICON/EUROPA, '95)(1995.4)에 기재된 "PLASM-AZ-05"형의 플라즈마 에칭기가 있다. 또한 참조에 의해 본 명세서에서 구체화된 다음의 PCT공개 참조: WO 96/21943(1996.7.18); WO 92/12610(1992.7.23); WO 92/12273(1992.7.23). 플라즈마는 대기압에서 유지되는 플루오린 함유 플라즈마이다. 에칭 파라미터는 다음과 같다: 대기압에서 대기내에 Ar(1 slm) + CF4(3 slm)플라즈마("Slm"은 분당 표준 리터를 나타낸다). DC전력은 12㎾이다. 웨이퍼 온도는 약 300℃이다. 실리콘 에칭속도는 8인치 웨이퍼에서 약 10㎛/min이다. 따라서, 웨이퍼는 1시간내에 720㎛ 두께에서 120㎛까지 에칭될 수 있다. 대신, 720㎛에서 360㎛까지 시간당 1.6 웨이퍼가 에칭될 수 있다. 상기 에칭은 후술되는 도 16에 설명되어 있다.
이러한 에칭은 실리콘보다 약 10배정도 느리게 BPSG(140)를 에칭한다.
상기 에칭은 층(150)에서 알루미늄, 금, 또는 니켈을 에칭하지 않는다.
결과적인 구조체가 도 8A에 도시되어 있다. 이산화규소(140)가 후면 에칭동안 노출되는 경우, 상기 에칭은 실리콘(110)보다 약 8-10배정도 느리게 이산화규소(140)를 에칭한다. 따라서, 이산화규소가 후면 접촉패드(150C)에서 에칭되는 경우, 금속(150) 주변의 이산화규소의 바닥부(140A,140B)는 실리콘(110)보다 아래로 돌출된다. 이러한 돌출부(140A,140B)는 금속(150)으로부터 실리콘기판(110)을 절연시키는 것을 돕는다. 산화물(140)이 1㎛ 두께인 일부 실시예에서, 10㎛ 실리콘은 1㎛ 산화물(140)이 후면 접촉패드(150C)에서 에칭되는 시간동안 에칭된다. 따라서, 돌출된 산화물부(140A,140B)의 수직 크기(V)는 8-10㎛가 되고(일부 실시예에서는 적어도 9㎛), 이러한 크기는 일부 실시예에서 실리콘기판으로부터 후면 접촉패드(150C)를 절연시키기에 충분하다.
일부 실시예에서, 산화물(140)은 더 두껍고, 접촉패드(150C)를 노출시킨 후 남겨진 돌출부(140A,140B)의 수직 크기(V)는 더 크다.
일부 실시예에서, 플라즈마 공정은 웨이퍼 후면상에서 유전체층(192)(도 8B)을 성장시키기를 계속한다. 특히, 에칭이 완료되면, 플루오린 암유 가스(예를 들어 CF4)는 플라즈마 반응기내에서 생산된다. 산소(또는 수증기), 또는 질소, 또는 산소 및 질소 모두(예를 들어, 공기)는 플라즈마로 공급된다. 산소 및/또는 질소는 산화규소(SiO 또는 SiO2), 질화규소 SiNx(예를 들어 Si3N4), 및/또는 수산화질화물 SiOxNy를 형성하기 위해 실리콘(110)에 반응한다.
일부 실시예에서, 유전체(192)는 5V 이하의 공급 전압에 의해 동력이 공급된 패키지된 수직 IC내에 신뢰할만한 전기적 절연을 제공하기 위해 0.01-0.02㎛ 두께가 된다.
도 8B의 일부 실시예에서, 절연체(192)는 300-500℃의 웨이퍼 온도에서 성장한다. 산소 및/또는 질소의 농도는 20-80%이다. 질소를 제외한 산소를 사용하는 일부 실시예에서, 0.02㎛ 두께의 산화규소를 성장시키기 위한 공정 시간은 약 10분이다. 층(192)의 두께는 더 높은 웨이퍼 온도, 더 높은 산소 및/또는 질소 농도, 또는 더 긴 공정시간을 사용하므로써 증가될 수 있다.
층(192)을 갖는 실시예에서, 금속(150)은 층(192) 제조동안 그 바닥면상에 비도전층을 형성하지 않도록 선택된다. 따라서, 일부 실시예에서, 금속(150)은 금, 플래티늄, 또는 층(192)을 형성하기 위해 사용된 종류(산소 또는 질소)와 반응하지 않는 일부 다른 금속이 된다. 다른 실시예에서, 금속(150)은 티타늄, 또는 유전체(192)가 성장하는 때에 도전층(예를 들어 TiN)을 형성하는 일부 다른 금속이 된다. 다른 실시예에서, 금속(150)은 스택의 바닥층이 그 표면에 비도전 재료를 형성하지 않도록 금속층의 스택이 된다. 예를 들어, 일부 실시예에서, 바닥층은 금, 플래티늄, 또는 티타늄이고, 중첩되는 층은 알루미늄이다.
IC소자의 제조단계는 임의의 적절한 방법으로 도 1-7, 8A, 8B의 후면 접촉패드 제조단계와 혼합될 수 있다.
그리고, 웨이퍼(104)는 다이스로 다이싱된다. 도 9-10은 도 1-7, 8A, 및 가능한한 도 8B에서와 같이 공정된 웨이퍼로부터 얻어진 세 개의 다이스(200.1, 200.2, 200.3)의 수직적 상호연결을 나타낸다(층(192)은 도 9-10에 도시되어 있지 않지만 일부 실시예에 존재한다). 다른 다이스(200)는 다른 IC를 포함할 수 있고, 다른 웨이퍼(104)로부터 얻어질 수 있다. 도 9-10에서 참조번호중 접미사 ".i"(i = 1, 2, 3)는 다이(200.i)에 있어서 도 1-7, 8A, 8B의 동일한 번호에 대한 대응성을 나타낸다. 예를 들어, 150C.3은 다이(200.3)내 후면 접촉패드를 가리킨다.
웨이퍼가 다이싱된 후, 솔더 볼(solder ball)(210.i)(도 9)은 금속(180.i)내 각각의 전면 접촉패드에 걸쳐 로봇에 의해 위치된다. 솔더(210)는 금속(150) 또는 다이스내에 존재할 수 있는 임의의 다른 금속보다 더 낮은 용해온도를 갖는다. 일부 실시예에서, 솔더(210.i)는 주석, 납, 또는 그 합금으로 이루어진다. 일부 실시예에서, 솔더 용해온도는 120-180℃이다.
일부 실시예에서는 솔더 대신 도전성 에폭시 또는 도전성 폴리머를 이용한다.
다이스는 아래에 놓인 다이와 연결될 각각의 후면 접촉패드(150C)가 아래에 놓인 다이내 각각의 솔더 볼(210)위에 위치되도록 정렬된다. 예를 들어, 접촉패드(150C.3)는 솔더 볼(210.2)위에 위치된다. 일부 실시예에서, 다른 다이스(도시되지 않음)는 다이(200.3)위에 놓이고, 다이(200.1) 밑에 놓인다. 상기 다이스는 함께 압축되고 가열된다. 가열온도는 솔더(210)를 용해하거나 연하게 하기에 충분하다. 가열온도는 일부 실시예에서 120-180℃이다. 압력은 금속(180)내 전면 패드와 중첩되는 후면 패드(150C) 사이에 우수한 전기적 접촉부를 형성하기에 충분하다. 웨이퍼를 함께 압축하기위해 적용되는 힘은 일부 실시예에서 100-200그램이다.
금속(180)내 전면 접촉패드를 노출시키는 유전체(190)내 개구부 및 솔더(210)의 크기는 용해된 솔더가 후면 접촉패드(150C)의 측단부에 닿지 않도록 선택된다. 예를 들어, 용해된 솔더(210.2)는 접촉패드(150C.3)의 단부(150C.E.3)에 닿지 않는다. 대응하는 후면 접촉패드(150C)와 접하는 용해된 솔더는 솔더와 패드간의 인터페이스에서 작용하는 표면장력에 의해 후면 접촉패드의 중심에서 유지된다. 결과적으로, 솔더(210)는 중첩하는 웨이퍼의 실리콘(110)과 접하지 않는다. 돌출부(140A,140B)(도 8A)는 노출된 금속(150)과 실리콘(110) 사이의 거리를 증가시킨다. 상기 솔더는 금속에는 부착되지만 산화물(140)에는 부착되지 않기 때문에, 돌출부(140A,140B)는 솔더(210)가 실리콘(110)과 접하는 것을 막는 것을 돕는다. 유전체(192)(도 8B)를 사용하는 실시예에서, 유전체(192)는 실리콘(110)이 솔더와 접하는 것에 대항하는 추가적인 보호안을 제공한다.
그리고, 구조체는 냉각된다. 다이스는 수직 IC에서 함께 연결된 채로 남아있는다.
구조체를 강화시키기 위해, 구조체는 진공실내에 위치되고, 유전체 부착제(220)는 상기 기술분야에서 공지된 방법에 의해 다이스(200) 사이로 주입된다. 부착제는 솔더(210)에 의해 형성된 접촉부 사이의 공간을 채운다.
도 10은 솔더(210)에 안착된 후면 접촉패드(150C)를 갖는 구조체를 나타낸다. 일부 실시예에서, 전면 접촉패드를 노출시키는 유전체(190)내 개구부 각각의 너비(W10)는 50-100㎛이다. 일부 실시예에서, 각각의 개구부는 원형이고, 개구부 너비는 개구부 직경이 된다. 다른 실시예에서, 개구부는 사각형이고, 그 너비는 그 변 길이가 된다. 각각의 후면 접촉패드(150C)의 너비(W11)는 30-50㎛이다. 너비는 유전체(190)내 개구부에 대해서 설명한 바와 같이, 직경 또는 변 길이가 된다. 인접한 다이스의 실리콘기판(110)의 바닥면들간의 거리(D10)는 50㎛ 이하이다. 각각의 바이어(130)의 아스펙트비는 일부 실시예에서 2:1 이하이고, 일부 실시예에서는 약 1:1이 된다. 낮은 아스펙트비는 생산율을 증가시킨다. 유전체(190)내 개구부의 큰 너비, 접촉패드(150C)의 큰 너비, 및 큰 영역의 솔더 연결은 국부적인 가열이 발생하는 경우 열낭비를 개선한다.
다중 다이 구조체는 상기 기술분야에서 공지된 방법을 이용하여 플라스틱 또는 세라믹 패키지, 또는 일부 다른 패키지로 캡슐화된다.
도 11-13에서, 바이어(130)를 채우는 재료(160)는 이산화규소보다는 금속이 된다. 도 11에서, 웨이퍼는 도 1-5에 도시된 바와 같이 처리되었다. 금속 볼(160)은 상기 기술분야에서 공지된 방법을 이용하여 로봇에 의해 각각의 바이어(130)내로 위치된다. 대신, 금속(160)은 일렉트로디포지션에 의해 디포지션된다. 일렉트로디포지션공정전, 웨이퍼 전면은 유전체 마스크(도시되지 않음)에 의해 마스크된다. 마스크는 일부 실시예에서 포토레지스트로 이루어진다. 개구부는 각각의 바이어(130)의 영역내 마스크내에 만들어진다. 일렉트로디포지션은 개구부를 통해 바이어로 금속(160)을 디포지션하기 위해 수행된다. 그리고, 마스크는 제거된다. 다른 실시예에서 금속(160)을 디포지션하기 위한 다른 방법이 사용된다.
금속(160)은 다이스 사이의 접촉을 위해 사용될 솔더(210)(도 9)보다 더 높은 용해온도를 갖는다. 그러나, 금속(160)은 층(150)보다 더 낮은 용해온도를 갖는다. 적당한 금속으로는 주석(용해온도 232℃), 아연(용해온도 420℃), 및 그 합금을 포함한다. 상호연결을 위해 알루미늄을 사용하는 일부 실시예에서, 금속(160) 용해온도는 600℃를 초과하지 않는다(알루미늄 용해온도는 660℃이다).
일부 실시예에서, 각각의 바이어(130)내 금속(160)의 부피는 금속(160)이 용해되는 경우 그 바이어를 넘쳐흐르지 않도록 하기 위해 바이어의 부피보다 작게 된다.
웨이퍼는 층(150)을 용해하지 않고 금속(160)(도 12)을 용해하기 위해 가열된다. 도 12에서, 금속 필링부(160)의 최상면은 바이어 외부 금속(150)의 최상면과 동일평면상에 있거나 그 아래에 있다. 일부 실시예에서, 금속(160)은 바이어를 넘쳐 흐르고, 바이어 외부 웨이퍼의 최상면에 걸쳐 뻗어 있다.
그리고, 임의의 다른 회로소자는 도 13에 도시된 바와 같이 바이어 표면에 걸쳐 형성될 수 있다. 특히, 일부 실시예에서, 금속층(150)은 도 6의 실시예에서 설명한 바와 같이 도전회선을 형성하기 위해 패터닝된다. 금속(150)이 에칭되는 경우, 바이어(130)를 넘쳐 흐를수 있는 임의의 중첩된 금속(160)은 동시에 에칭된다.
유전체(170)(예를 들어 BPSG), 전면 접촉패드를 제공하는 마지막 금속(180)(예를 들어 Al/Si), 및 유전체(190)(예를 들어 BPSG; 도 13 참조)는 디포지션되고, 도 7의 실시예와 유사하게 포토리소그래피로 패터닝된다. 일부 실시예에서, 금속(180)은 진공 스퍼터링 또는 가열 증발법에 의해 디포지션된 알루미늄이다. 디포지션동안 웨이퍼 온도는 250-300℃를 초과하지 않는다. 웨이퍼 온도는 금속(160)의 용해온도를 초과하지 않는다.
웨이퍼는 도 8A와 관련하여 상기한 바와 같이 박막화된다. 일부 실시예에서, 유전체(192)는 도 8B와 관련하여 상기한 바와 같이 디포지션된다. 다른 실시예에서, 유전체(192)는 생략된다. 그리고, 도 9 및 도 10에서 상기한 바와 같이 제조가 진행된다. 솔더(210)를 연하게 하거나 용해하는 단계를 포함하는 도 8A, 8B, 9, 10의 모든 공정단계는 금속(160)의 용해온도 이하의 온도에서 수행된다.
금속(160)은 IC의 기계적 강도를 증가시킨다. 금속(160)은 또한 국부적인 가열이 발생하는 경우 열손실을 개선한다.
도 14에서, 필링부(160)는 생략된다. 도 5의 구조체의 제조후에, 유전체(190)(일부 실시예에서는 BPSG)는 도전층(150)상에 직접적으로 디포지션된다. 유전체(190)는 마스크된 에칭에 의해 바이어(130)내에서 제거된다. 에칭은 또한 바이어(130)로부터 멀리 전면 접촉패드를 형성하기 위해, 영역(150F)과 같은 다른 선택된 영역의 금속(150)으로부터 유전체(190)를 제거한다.
웨이퍼는 다이스로 다이싱된다. 바이어(130)의 깊이보다 더 큰 직경의 솔더 볼(210)은 바이어내에 위치된다. 솔더는 또한 중첩되는 다이스의 후면 접촉패드(150C)와 연결될 그 전면 접촉패드(150F)위에 배치된다. 바이어(130)내 솔더(210)는 솔더가 용해되거나 연해질 때 솔더의 최상면이 접촉부(150F)위의 솔더부(도시되지 않음)의 최상면과 대략 동일한 높이에 있을 정도로 충분히 두껍다. 도 9-10과 관련하여 상기한 바와 같이, 다이스(200)는 정렬되고, 함께 압축되며, 가열된다. 솔더는 용해되거나 연해져, 인접한 다이스 사이에 접촉부를 형성한다.
일부 실시예에서, 최상위 다이를 제외하고 각각의 다이의 층(190)은 인접하는 중첩 다이의 (만일 있다면) 유전체(192) 또는 실리콘기판(110)과 접한다. 일부 실시예에서, 층(190)과 실리콘(110) 또는 유전체(192) 사이의 마찰이 전단력(shrearing force)에 대한 충분한 저항을 생성하기 때문에 부착제는 생략된다.
일부 실시예에서, 최상부에서 각각의 바이어(130)의 너비(W14)는 90-150㎛이다. 각각의 후면 접촉패드(150C)의 너비(W11)는 30-50㎛이다. 인접한 다이스상의 유사한 포인트 사이의 거리(D14), 예를 들어 인접한 다이스의 기판(110)의 바닥면 사이의 거리는 30-50㎛이다.
도 15에서, 전면 접촉패드는 바이어(130)에 중첩되지 않는다. 전면 접촉패드(150F)는 바이어(130) 외부에 만들어진다. 패드(150F)는 도 14에서 상기한 바와 같이 Al/Si층(150) 또는 또다른 금속층으로 이루어진다. 일부 실시예에서, 필링부(160)는 생략되지만 다른 실시예에서는 존재한다. 솔더 볼(210)은 접촉패드(150F)에 걸쳐 BPSG(190)내 개구부에 위치된다. 다이스는 대응하는 전면 접촉패드(150F)위에 후면 접촉패드(150C)를 위치시키도록 정렬된다. 다이스는 도 9, 10, 14와 관련하여 상기한 바와 같이 가열되고 함께 압축된다. 솔더(210)는 접촉패드들간의 접촉부를 형성한다. 부착제(도시되지 않음)는 도 9 및 도 10과 관련하여 상기한 바와 같이 다이스 사이의 공간으로 주입된다. 유전체(192)(도 8B)는 도 15의 일부 실시예에서 존재하지만 다른 실시예에서는 존재하지 않는다.
일부 실시예에서, 유전체(190)의 최상면으로부터 후면 접촉패드(150C)의 바닥면까지 측정된 다이 두께(T15)는 25㎛이다. 다른 실시예에서는 다른 두께가 사용된다.
도 16은 접촉패드(150C)를 노출시키는 에칭과 (선택적으로) 유전체(192)의 디포지션을 포함하는 후면 플라즈마 공정을 설명하고 있다. 상기 공정은 상기한, 또는 도 8A와 관련하여 상기한 일부 다른 에칭기 및 IPEC/Precision, Inc.에서 입수가능한 에칭기 PaceJetⅡ로 대기압에서 수행된다. 에칭 및 디포지션동안, 웨이퍼(104)는 비접촉 웨이퍼 홀더(1610)로 유지된다. 웨이퍼 전면은 홀더(1610)를 향해 있다. 홀더(1610)는 물리적으로 웨이퍼와 접촉하지 않고서 최상부로부터 웨이퍼를 유지한다. 본 명세서에서 참조로 구체화된 발명자 A.F.Andreev와 R.A.Luus의 USSR 발명자 증명서 732198(1980.5.8)도 참조. 웨이퍼 홀더(1610)와 웨이퍼(104) 사이의 원형 가스 플로우부(보텍스(vortex))(1614)는 홀더 가까이로 웨이퍼를 위로 올리지만, 웨이퍼가 홀더와 접하지 않게 한다. 그러므로, 보호층은 웨이퍼 전면상의 회로(1618)가 홀더와 물리적으로 접촉하는 것을 막거나, 또는 플라즈마젯(1624)에 의해 손상되거나 에칭되는 것을 막을 필요가 없다. 플라즈마젯 발생기(1620)는 발생기에 의해 생성된 플라즈마젯(1624)이 웨이퍼 후면(104B)을 스캔하도록 수평으로 이동한다.
도 17-18은 웨이퍼를 박막화하기에 적당한 대안적인 대기압 공정을 나타내고 있다. 도 17은 도 17A-17D로 구성된다. 도 17A는 박막화하는 공정 바로전의 웨이퍼(104)를 나타내고 있다. 회로(1618)는 웨이퍼 전면상에서 제조되었다. 일부 실시예에서, 웨이퍼 두께는 600-720㎛이다. 실리콘은 웨이퍼 두께를 150-350㎛까지 감소시키기 위해 공지된 방법(예를 들어 기계적 분쇄)에 의해 웨이퍼 후면으로부터 제거된다. 결과적인 웨이퍼가 도 17B에 도시되어 있다. 웨이퍼는 칩(200)으로 다이싱된다(도 17C). 각각의 칩의 두께는 150-350㎛이다. 상기 기술분야에서 공지된 바와 같이 칩이 테스트되고 분류된다. 칩은 대기압에서 플루오린 함유 플라즈마에 의해 더 박막으로 되고, (선택적으로) 유전체(192)는 도 18에 도시된 바와 같은 에칭후에 즉시 후면상에서 디포지션된다. 도 18의 공정 및 에칭기는 도 16과 유사하지만, 도 18에서 비접촉 칩 홀더(1610)는 웨이퍼보다 여러 각각의 칩(도 18의 3개 칩)을 보유한다. 각각의 칩(200)은 홀더(1610)의 각각의 세그먼트에 위치하고, 도 16과 유사하게 가스 플로우부(1614)에 의해 자리를 유지한다. 플라즈마젯(1624)은 바이어 바닥부에서 유전체(140)가 제거되고 (선택적으로) 유전체가 디포지션될 때까지 후면으로부터 칩을 모두 스캔한다. 회로(1618)를 위해 어떠한 보호층도 필요하지 않다.
칩의 대기압 후면 에칭은 칩 두께를 50㎛ 이하로 감소시킨다(도 17D).
칩(200)은 도 10, 14, 15와 관련하여 상기한 바와 같이 패키지된 스택이 될 수 있다.
도 17의 2단계 공정(예를 들어 플라즈마 공정에 따른 기계적 접지)은 일부 실시예에서 제조비용을 감소시킨다. 실제로, 제조율에 따라, 사용되지 않은 영역과 함께 "배드(bad)" 다이스에 의해 점유된 웨이퍼 영역은 예를 들어 웨이퍼의 50%로 간주될 수 있다. 만일 웨이퍼가 도 17의 공정에서와 같이 박막화하는 공정이 완료되기전에 다이싱되고, "굿(good)" 다이스만이 박막으로 완성되며 (선택적으로) 유전체(192)가 제공되는 경우, 전체 웨이퍼를 박막으로 만드는 단계와 전체 웨이퍼에 걸쳐 유전체(192)를 디포지션하는 단계와 비교하여 박막화하는 단계 및 디포지션 단계에서 자원이 절약된다. 또한, 50㎛ 이하까지 박막으로 된, 6-8인치 직경의 웨이퍼는 동일한 두께의 더 작은 측부 크기(일부 실시예에서 1인치 이하)를 갖는 다이보다 더 약하다. 이것은 도 17의 일부 실시예에서 제조비용이 더 낮은 또다른 이유가 된다.
일부 실시예에서, 도 16, 17, 18의 공정은수직 IC에서 사용되지 않는 다이스 또는 웨이퍼를 박막화하는데 사용된다. 그러한 실시예에서, 후면 에칭은 임의의 도전성 접촉부를 노출시키거나 노출시키지 않을 수 있다. 도 16-18의 공정은 각각의 다이 또는 웨이퍼의 전면내 또는 그 위에 하나 또는 그 이상의 회로소자의 공정을 따른다. 따라서, 회로소자 공정은 웨이퍼가 그 최종 두께보다 더 두껍고 따라서 기계적으로 더 강해질 때 수행된다.
상기한 실시예는 본 발명을 설명하기 위한 것이지 그것을 제한하는 것은 아니다. 특히, 본 발명은 수직 IC내 다이스의 수(다이스의 수는 1보다 큰 임의의 숫자가 될 수 있다), 또는 임의의 특정 두께, 개구부 너비, 또는 다른 크기에 의해 제한되지 않는다. 본 발명은 임의의 특정한 재료에 의해 제한되지 않는다. 일부 실시예에서 비실리콘 웨이퍼가 사용된다.
부록
재료 제거를 위한 PaceJetⅡ 기술
IPEC/Precision의 PaceJetⅡ는 후면 막 제거 및 웨이퍼를 박막화하는 공정을 위한 방법을 제공한다. PaceJetⅡ는 공정 단계를 줄임으로써 웨이퍼 또는 장치 제조비용을 감소시키는 비접촉 재료 제거 시스템이다. 그것은 또한 후면 그라인더의 제한을 초과하는 레벨까지 웨이퍼를 박막화할 수 있다.
PaceJetⅡ는 IPEC's Plasma Assisted Chemical Etching, 또는 PACE 기술의 대기압 변형을 이용한다. 상기 방법은 웨이퍼 기판 또는 전면 장치를 손상시키지 않고서 높은 에칭율을 산출한다.
PaceJetⅡ은 종래의 그라인딩 및 습식 화학적 에칭을 넘어서는 현저한 이점을 제공하기 위해 PACE 기술과 비접촉 웨이퍼 홀딩기법을 결합한다.
특징 및 이점
특징 이점
PACE의 고가격 형태 처리량을 증가; 평탄하고 오염되지 않으며 손상되지 않은 웨이퍼 표면을 생산
5-웨이퍼 캐러셀(carousel) 공정 처리량을 증가
행성적인 웨이퍼 움직임 일정한 선대칭 에칭 제거
대기압 작동 진공이 아님-복잡도 및 비용 감소
비접촉 웨이퍼 홀더 후면 공정에서, 웨이퍼의 장치부와의 접촉부를 제거
질소 "베일" 표면 접촉없이 웨이퍼를 유지; 레지스트/테입으로 웨이퍼의 장치부를 보호할 필요가 없다
저에너지 플라즈마 웨이퍼의 장치부상의 충전 강화의 위험 제거
적은 자국(1.3㎡ 또는 13.9ft2) 최소한의 청정실 또는 추적 영역이 요구됨
응용
공정 PaceJetⅡ에 의해 교체되거나 감소된 공정단계 이점
후면 막 제거 교체:(a)그라인딩, 습식 에칭 또는 진공 플라즈마(b)전면 보호를 위한 레지스트 코팅(c)레지스트 스트립(들) 예를 들어 Si에서의 μ균열과 같은 그라인딩 손상 제거반복가능성공정단계 및 장비 감소습식 화학적 처리 없음
후면 웨이퍼 박막화 교체:(a)레지스트 코팅(b)습식 에칭(c)레지스트 스트립(들) 그라인딩/랩핑 가늘기 제한 제거장치부 보호의 필요성없이 순서가 잘못된 층을 제거속도 및 열낭비를 패키지하는 칩
웨이퍼링 교체:(a)랩핑 또는 그라인딩(b)화학적 에칭감소:(a)폴리싱 단계의 #(3)(b)클리닝 단계의 #(3) 낮아진 비용/웨이퍼더 높은 웨이퍼 생산율감소된 자본
접착된 SOI 웨이퍼 박막화 교체:(a)정교한 그라인딩(b)폴리싱 & 클리닝(선택적)감소:점검의 # 저비용/접착된 웨이퍼높은 생산율감소된 자본개선된 SOI 웨이퍼 품질
성능
웨이퍼 공정 처리량 - 웨이퍼 크기 및 재료 제거에 종속:
400㎚ Si3N420㎛ 실리콘 시간당 웨이퍼
150-㎜18045 200-㎜14427
표면 마이크로러프니스 - Si 제거 깊이에 종속:
초기 마이크로러프니스에서의 % 개선도 실리콘 제거 깊이(㎛)
20086% 10065% 1010%
예:초기 러프니스 100㎚,최종 = 14㎚ 35㎚ 90㎚
추가된 재료 제거 비균일성 - Si 제거 깊이 및 웨이퍼 크기에 종속: < 0.5㎛
카세트대 카세트, 전체적으로 자동화된 작동.
시스템 자국: 약 84㎝(W) × 156㎝(D)

Claims (23)

  1. 제 1 측부에 하나 또는 그 이상의 개구부를 갖는 바디를 제공하는 단계;
    제 1 유전체에 의해 바디로부터 분리되는 각각의 개구부내에 도체와 함께 하나 또는 그 이상의 각각의 개구부내에 도체 및 제 1 유전체를 제조하는 단계; 및
    각각의 개구부내 도체를 노출시키기 위해 바디의 제 2 측부로부터 재료를 제거하는 단계로 이루어지고,
    상기 재료 제거단계는 제 1 유전체의 제거속도가 바디 재료의 제거속도보다 낮은 공정을 구비하는 것을 특징으로 하는 IC 제조방법.
  2. 제 1 항에 있어서,
    상기 공정에서, 제 1 유전체의 제거속도는 바디 재료의 제거속도보다 약 10배정도 낮은 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 공정에서, 제 1 유전체의 제거속도는 도체의 제거속도보다 높은 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    바디의 제 2 측부로부터 재료를 제거하는 단계는 제 2 측부상에 노출된 도체가 아닌 바디의 제 2 측부상에 제 2 유전체를 형성하는 것에 따른 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서,
    제 2 측부로부터 재료를 제거하는 단계는 바디가 비접촉 홀더에서 유지될 때에 대략 대기압에서 바디의 제 2 측부를 플라즈마 에칭하는 단계를 구비하는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서,
    제 2 측부로부터의 재료의 제거가 완료되기전에 바디를 다이싱하는 단계; 및
    제 2 측부로부터 재료를 제거하는 단계는 각각의 다이스로부터 재료를 제거하는 단계를 구비하는 것을 특징으로 하는 방법.
  7. 제 6 항에 있어서,
    제 2 측부로부터 재료를 제거하는 단계는:
    바디가 다이싱되기전에 제 2 측부로부터 재료를 제거하는 단계; 및
    바디가 다이싱된후에 각각의 다이스로부터 재료를 제거하는 단계로 이루어지는 것을 특징으로 하는 방법.
  8. 제 6 항에 있어서,
    각각의 다이스로부터 재료를 제거하는 단계는 바디의 다이스를 테스트하는 단계가 선행되고, 각각의 다이스로부터 재료를 제거하는 단계는 테스트를 통과한 다이 또는 다이스상에서만 수행되는 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서,
    바디는 반도체 재료로 이루어지는 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서,
    제 2 측부로부터 재료를 제거한 후, 수직 IC를 형성하기 위해, 적어도 하나의 노출된 도전체가 또다른 IC의 접촉패드와 접촉하는 것과 동시에, 적어도 하나의 바디 IC와 하나 또는 그 이상의 다른 IC를 연결하는 단계를 구비하는 것을 특징으로 하는 방법.
  11. 바디의 제 1 측부내 또는 그 위에 형성된 하나 또는 그 이상의 회로 소자를 갖는 반도체 바디;
    상기 바디의 제 2 측부로부터 돌출되는 하나 또는 그 이상의 도전성 접촉부; 및
    상기 바디로부터 각각의 접촉부를 분리시키는 유전체로 이루어지고,
    적어도 하나의 접촉부는 제 1 측부내 또는 그 위에 형성된 하나 또는 그 이상의 회로소자와 하나 또는 그 이상의 도전회로에 의해 연결되며,
    각각의 접촉부에 인접한 유전체는 각각의 접촉부 주위에서 제 2 측부의 반도체 재료 밖으로 돌출되는 것을 특징으로 하는 IC.
  12. 제 11 항에 있어서,
    각각의 접촉부 주위의 유전체는 제 2 측부와 수직인 방향으로 측정된 적어도 8㎛만큼 제 2 측부의 반도체 재료밖으로 돌출되는 것을 특징으로 하는 IC.
  13. 제 11 항에 있어서,
    회로의 제 2 측부는 덮지만 접촉부를 노출시키는 유전체를 구비하는 것을 특징으로 하는 IC.
  14. 제 11 항에 있어서,
    적어도 하나의 접촉부가 또다른 IC상의 도전성 접촉부와 접촉하도록 하기위해 하나 또는 그 이상의 다른 IC와 결합하고, 상기 결합은 수직 IC를 형성하는 것을 특징으로 하는 IC.
  15. 제 1 측부내에 하나 또는 그 이상의 개구부를 갖는 바디를 제공하는 단계;
    각각의 개구부내 도전체가 제 1 유전체에 의해 바디로부터 분리되도록 하나 또는 그 이상의 각각의 개구부내에 도전체 및 제 1 유전체를 제조하는 단계;
    각각의 개구부내 도전체를 노출시키기 위해 바디의 제 2 측부로부터 재료를 제거하는 단계; 및
    하나 또는 그 이상의 접촉부상에 유전체층을 형성하지 않는 공정에 의해 바디의 제 2 측부상에 유전체층을 형성하는 단계로 이루어지는 IC 제조방법.
  16. 제 15 항에 있어서,
    바디의 제 2 측부상에 유전체층을 형성하는 단계는 유전체층을 형성하기 위해 바디의 재료와 반응하지만 하나 또는 그 이상의 접촉부상에 유전체를 형성하지 않는 종류를 포함하는 플라즈마에 제 2 측부를 노출시키는 단계를 구비하는 것을 특징으로 하는 방법.
  17. 다수의 각각의 IC를 제조하는 단계; 및
    각각의 IC의 제조가 완료되고, 각각의 IC가 그 최종 두께로 제조된 후, 수직 IC를 형성하기 위해 각각의 IC를 서로 부착하는 단계로 이루어지는 것을 특징으로 하는 수직 IC 제조방법.
  18. 제 17 항에 있어서,
    각각의 IC의 제조단계는 회로가 비접촉 홀더로 유지될 때에 적어도 하나의 각각의 회로의 후면 에칭단계를 구비하는 것을 특징으로 하는 방법.
  19. 반도체 웨이퍼로부터 다수의 IC를 제조하는 단계;
    웨이퍼를 다이스로 다이싱하는 단계; 및
    하나 또는 그 이상의 다이스가 비접촉 홀더로 유지될 때에 웨이퍼로부터 얻어진 하나 또는 그 이상의 다이스를 박막화하는 단계로 이루어지고,
    IC를 갖는 웨이퍼는 각각의 IC의 최종 두께보다 두꺼운 것을 특징으로 하는 상기 IC 제조방법.
  20. 제 19 항에 있어서,
    다수의 IC를 제조하는 단계는 웨이퍼의 제 1 측부내 또는 그 위에 하나 또는 그 이상의 회로소자를 제조하는 단계를 구비하고,
    각각의 다이는 상기 웨이퍼의 제 1 측부의 부분이 되는 제 1 측부를 가지며,
    박막화 공정동안, 하나 또는 그 이상의 다이스 각각의 제 1 측부는 하나 또는 그 이상의 다이스의 제 1 측부내 또는 그 위에 제조된 하나 또는 그 이상의 회로소자가 에칭되는 것을 막는 비접촉 홀더와 접하는 것을 특징으로 하는 방법.
  21. 제 19 항에 있어서,
    에칭공정은 대기압에서 플루오린 함유 플라즈마 에칭단계를 구비하는 것을 특징으로 하는 방법.
  22. 제 19 항에 있어서,
    웨이퍼는 실리콘으로 이루어지는 것을 특징으로 하는 방법.
  23. 제 19 항에 있어서,
    하나 또는 그 이상의 다이스를 박막화하는 단계는 IC를 테스트하는 단계가 선행되고, 상기 박막화하는 단계는 테스트를 통과한 다이 또는 다이스상에서만 수행되는 것을 특징으로 하는 방법.
KR10-1999-7003711A 1996-10-29 1997-10-27 Ic 및 그 제조방법 KR100377033B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3042596P 1996-10-29 1996-10-29
US60/030,425 1996-10-29

Publications (2)

Publication Number Publication Date
KR20000052865A true KR20000052865A (ko) 2000-08-25
KR100377033B1 KR100377033B1 (ko) 2003-03-26

Family

ID=21854150

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7003711A KR100377033B1 (ko) 1996-10-29 1997-10-27 Ic 및 그 제조방법

Country Status (5)

Country Link
US (4) US6184060B1 (ko)
EP (3) EP2270846A3 (ko)
JP (1) JP3537447B2 (ko)
KR (1) KR100377033B1 (ko)
WO (1) WO1998019337A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150045953A (ko) * 2007-07-31 2015-04-29 인벤사스 코포레이션 실리콘 쓰루 비아를 사용하는 반도체 패키지 공정

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2270846A3 (en) * 1996-10-29 2011-12-21 ALLVIA, Inc. Integrated circuits and methods for their fabrication
US6498074B2 (en) 1996-10-29 2002-12-24 Tru-Si Technologies, Inc. Thinning and dicing of semiconductor wafers using dry etch, and obtaining semiconductor chips with rounded bottom edges and corners
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5767627A (en) 1997-01-09 1998-06-16 Trusi Technologies, Llc Plasma generation and plasma processing of materials
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6542720B1 (en) * 1999-03-01 2003-04-01 Micron Technology, Inc. Microelectronic devices, methods of operating microelectronic devices, and methods of providing microelectronic devices
US6287976B1 (en) 1999-05-19 2001-09-11 Tru-Si Technologies, Inc. Plasma processing methods and apparatus
US6294469B1 (en) * 1999-05-21 2001-09-25 Plasmasil, Llc Silicon wafering process flow
US6316287B1 (en) 1999-09-13 2001-11-13 Vishay Intertechnology, Inc. Chip scale surface mount packages for semiconductor device and process of fabricating the same
US7211877B1 (en) 1999-09-13 2007-05-01 Vishay-Siliconix Chip scale surface mount package for semiconductor device and process of fabricating the same
KR100462980B1 (ko) 1999-09-13 2004-12-23 비쉐이 메저먼츠 그룹, 인코포레이티드 반도체장치용 칩 스케일 표면 장착 패키지 및 그 제조공정
US6271060B1 (en) 1999-09-13 2001-08-07 Vishay Intertechnology, Inc. Process of fabricating a chip scale surface mount package for semiconductor device
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6774342B2 (en) * 2000-01-25 2004-08-10 Societa Italiana Vetro - Siv S.P.A. Glazing with electrical terminal
US6710454B1 (en) * 2000-02-16 2004-03-23 Micron Technology, Inc. Adhesive layer for an electronic apparatus having multiple semiconductor devices
EP1148546A1 (de) * 2000-04-19 2001-10-24 Infineon Technologies AG Verfahren zur Justierung von Strukturen auf einem Halbleiter-substrat
US6631935B1 (en) 2000-08-04 2003-10-14 Tru-Si Technologies, Inc. Detection and handling of semiconductor wafer and wafer-like objects
US6423923B1 (en) 2000-08-04 2002-07-23 Tru-Si Technologies, Inc. Monitoring and controlling separate plasma jets to achieve desired properties in a combined stream
US6720270B1 (en) * 2000-09-13 2004-04-13 Siliconware Precision Industries Co., Ltd. Method for reducing size of semiconductor unit in packaging process
US6674161B1 (en) * 2000-10-03 2004-01-06 Rambus Inc. Semiconductor stacked die devices
JP3735526B2 (ja) 2000-10-04 2006-01-18 日本電気株式会社 半導体装置及びその製造方法
US6749764B1 (en) 2000-11-14 2004-06-15 Tru-Si Technologies, Inc. Plasma processing comprising three rotational motions of an article being processed
JP2002299462A (ja) * 2001-01-26 2002-10-11 Nokia Mobile Phones Ltd 半導体装置
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6498381B2 (en) 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US20020163072A1 (en) * 2001-05-01 2002-11-07 Subhash Gupta Method for bonding wafers to produce stacked integrated circuits
JP3788268B2 (ja) * 2001-05-14 2006-06-21 ソニー株式会社 半導体装置の製造方法
US6956268B2 (en) * 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) * 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP4468609B2 (ja) * 2001-05-21 2010-05-26 株式会社ルネサステクノロジ 半導体装置
US6878608B2 (en) * 2001-05-31 2005-04-12 International Business Machines Corporation Method of manufacture of silicon based package
US7189595B2 (en) * 2001-05-31 2007-03-13 International Business Machines Corporation Method of manufacture of silicon based package and devices manufactured thereby
JP2003022850A (ja) * 2001-07-09 2003-01-24 Tokyo Electron Ltd フィードスルーの製造方法およびフィードスルー
US6615113B2 (en) 2001-07-13 2003-09-02 Tru-Si Technologies, Inc. Articles holders with sensors detecting a type of article held by the holder
US6935830B2 (en) * 2001-07-13 2005-08-30 Tru-Si Technologies, Inc. Alignment of semiconductor wafers and other articles
US6638004B2 (en) 2001-07-13 2003-10-28 Tru-Si Technologies, Inc. Article holders and article positioning methods
US7163826B2 (en) * 2001-09-12 2007-01-16 Reveo, Inc Method of fabricating multi layer devices on buried oxide layer substrates
US7033910B2 (en) * 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6875671B2 (en) * 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US6787916B2 (en) 2001-09-13 2004-09-07 Tru-Si Technologies, Inc. Structures having a substrate with a cavity and having an integrated circuit bonded to a contact pad located in the cavity
US6794272B2 (en) 2001-10-26 2004-09-21 Ifire Technologies, Inc. Wafer thinning using magnetic mirror plasma
US6797537B2 (en) * 2001-10-30 2004-09-28 Irvine Sensors Corporation Method of making stackable layers containing encapsulated integrated circuit chips with one or more overlaying interconnect layers
US6624048B1 (en) * 2001-12-05 2003-09-23 Lsi Logic Corporation Die attach back grinding
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US20030119308A1 (en) * 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US20060246621A1 (en) * 2002-02-14 2006-11-02 Intel Corporation Microelectronic die including thermally conductive structure in a substrate thereof and method of forming same
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
JP4129643B2 (ja) * 2002-03-19 2008-08-06 セイコーエプソン株式会社 半導体装置の製造方法
JP4110390B2 (ja) * 2002-03-19 2008-07-02 セイコーエプソン株式会社 半導体装置の製造方法
US7026223B2 (en) * 2002-03-28 2006-04-11 M/A-Com, Inc Hermetic electric component package
US20030183943A1 (en) * 2002-03-28 2003-10-02 Swan Johanna M. Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
US6848177B2 (en) * 2002-03-28 2005-02-01 Intel Corporation Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
US6908845B2 (en) * 2002-03-28 2005-06-21 Intel Corporation Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
TWI232560B (en) * 2002-04-23 2005-05-11 Sanyo Electric Co Semiconductor device and its manufacture
JP4285629B2 (ja) * 2002-04-25 2009-06-24 富士通株式会社 集積回路を搭載するインターポーザ基板の作製方法
US7256421B2 (en) 2002-05-17 2007-08-14 Semiconductor Energy Laboratory, Co., Ltd. Display device having a structure for preventing the deterioration of a light emitting device
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
JP4081666B2 (ja) 2002-09-24 2008-04-30 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
JP3908147B2 (ja) * 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置及びその製造方法
JP3908148B2 (ja) * 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置
JP3908146B2 (ja) * 2002-10-28 2007-04-25 シャープ株式会社 半導体装置及び積層型半導体装置
TWI227550B (en) * 2002-10-30 2005-02-01 Sanyo Electric Co Semiconductor device manufacturing method
KR100464856B1 (ko) * 2002-11-07 2005-01-05 삼성전자주식회사 표면 식각 방법 및 실리콘 기판 이면 식각 방법.
US7233413B2 (en) 2002-11-22 2007-06-19 E. I. Du Pont De Nemours And Company Gamut description and visualization
JP3972813B2 (ja) * 2002-12-24 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
JP4213478B2 (ja) * 2003-01-14 2009-01-21 株式会社ルネサステクノロジ 半導体装置の製造方法
TW200500290A (en) * 2003-02-10 2005-01-01 Reveo Inc Micro-nozzle, nano-nozzle, manufacturing methods therefor, applications therefor
TWI239629B (en) * 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US7067907B2 (en) * 2003-03-27 2006-06-27 Freescale Semiconductor, Inc. Semiconductor package having angulated interconnect surfaces
JP2004297019A (ja) * 2003-03-28 2004-10-21 Seiko Epson Corp 半導体装置、回路基板及び電子機器
EP2704187B1 (en) 2003-04-03 2015-08-19 Imec METHOD FOR PRODUCING ELECTRICAL THROUGH HOLE INTERCONNECTS AND corresponding DEVICE
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
US6759341B1 (en) 2003-04-09 2004-07-06 Tru-Si Technologies, Inc. Wafering method comprising a plasma etch with a gas emitting wafer holder
JP4248928B2 (ja) 2003-05-13 2009-04-02 ローム株式会社 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置
DE10326507A1 (de) * 2003-06-12 2005-01-13 Infineon Technologies Ag Verfahren zur Herstellung eines bruchfesten scheibenförmigen Gegenstands sowie zugehörige Halbleiterschaltungsanordung
DE10326508A1 (de) * 2003-06-12 2005-01-13 Infineon Technologies Ag Verfahren zur Herstellung eines bruchfesten scheibenförmigen Gegenstands sowie zugehörige Halbleiterschaltungsanordnung
JP3690407B2 (ja) 2003-07-31 2005-08-31 セイコーエプソン株式会社 半導体装置の製造方法
JP4401181B2 (ja) 2003-08-06 2010-01-20 三洋電機株式会社 半導体装置及びその製造方法
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7176128B2 (en) * 2004-01-12 2007-02-13 Infineon Technologies Ag Method for fabrication of a contact structure
DE102004009296B4 (de) * 2004-02-26 2011-01-27 Siemens Ag Verfahren zum Herstellen einer Anordnung eines elektrischen Bauelements
JP3945493B2 (ja) * 2004-04-16 2007-07-18 セイコーエプソン株式会社 半導体装置及びその製造方法
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7713574B2 (en) * 2004-07-13 2010-05-11 Dexcom, Inc. Transcutaneous analyte sensor
US7351448B1 (en) * 2004-07-27 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Anti-reflective coating on patterned metals or metallic surfaces
US7521363B2 (en) 2004-08-09 2009-04-21 Analog Devices, Inc. MEMS device with non-standard profile
US7416984B2 (en) 2004-08-09 2008-08-26 Analog Devices, Inc. Method of producing a MEMS device
JP4365750B2 (ja) 2004-08-20 2009-11-18 ローム株式会社 半導体チップの製造方法、および半導体装置の製造方法
US7193295B2 (en) * 2004-08-20 2007-03-20 Semitool, Inc. Process and apparatus for thinning a semiconductor workpiece
US7354649B2 (en) 2004-08-20 2008-04-08 Semitool, Inc. Semiconductor workpiece
US7288489B2 (en) * 2004-08-20 2007-10-30 Semitool, Inc. Process for thinning a semiconductor workpiece
US20060046499A1 (en) * 2004-08-20 2006-03-02 Dolechek Kert L Apparatus for use in thinning a semiconductor workpiece
US20060040111A1 (en) * 2004-08-20 2006-02-23 Dolechek Kert L Process chamber and system for thinning a semiconductor workpiece
US7598167B2 (en) * 2004-08-24 2009-10-06 Micron Technology, Inc. Method of forming vias in semiconductor substrates without damaging active regions thereof and resulting structures
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7109068B2 (en) * 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
US7491582B2 (en) * 2004-08-31 2009-02-17 Seiko Epson Corporation Method for manufacturing semiconductor device and semiconductor device
US7279407B2 (en) * 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7361581B2 (en) * 2004-11-23 2008-04-22 International Business Machines Corporation High surface area aluminum bond pad for through-wafer connections to an electronic package
US7371676B2 (en) * 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US7393770B2 (en) 2005-05-19 2008-07-01 Micron Technology, Inc. Backside method for fabricating semiconductor components with conductive interconnects
US7317256B2 (en) * 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7795134B2 (en) * 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7488680B2 (en) 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7622377B2 (en) * 2005-09-01 2009-11-24 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US7863187B2 (en) * 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7786572B2 (en) * 2005-09-13 2010-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. System in package (SIP) structure
US7633167B2 (en) * 2005-09-29 2009-12-15 Nec Electronics Corporation Semiconductor device and method for manufacturing same
US7307348B2 (en) * 2005-12-07 2007-12-11 Micron Technology, Inc. Semiconductor components having through wire interconnects (TWI)
TWI324800B (en) * 2005-12-28 2010-05-11 Sanyo Electric Co Method for manufacturing semiconductor device
TWI293499B (en) * 2006-01-25 2008-02-11 Advanced Semiconductor Eng Three dimensional package and method of making the same
TW200737506A (en) * 2006-03-07 2007-10-01 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7659612B2 (en) * 2006-04-24 2010-02-09 Micron Technology, Inc. Semiconductor components having encapsulated through wire interconnects (TWI)
US7510928B2 (en) 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
TWI367557B (en) * 2006-08-11 2012-07-01 Sanyo Electric Co Semiconductor device and manufaturing method thereof
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) * 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
JP5010247B2 (ja) * 2006-11-20 2012-08-29 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
US7939941B2 (en) 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7825517B2 (en) 2007-07-16 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for packaging semiconductor dies having through-silicon vias
US7977798B2 (en) * 2007-07-26 2011-07-12 Infineon Technologies Ag Integrated circuit having a semiconductor substrate with a barrier layer
US7932179B2 (en) * 2007-07-27 2011-04-26 Micron Technology, Inc. Method for fabricating semiconductor device having backside redistribution layers
JP2009043992A (ja) 2007-08-09 2009-02-26 Disco Abrasive Syst Ltd ウエーハの加工方法
KR100905784B1 (ko) * 2007-08-16 2009-07-02 주식회사 하이닉스반도체 반도체 패키지용 관통 전극 및 이를 갖는 반도체 패키지
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US8476769B2 (en) * 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US8227902B2 (en) * 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7588993B2 (en) 2007-12-06 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment for backside illumination sensor
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8671476B2 (en) * 2008-02-05 2014-03-18 Standard Textile Co., Inc. Woven contoured bed sheet with elastomeric yarns
US8853830B2 (en) * 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US8288872B2 (en) 2008-08-05 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via layout
US8399273B2 (en) * 2008-08-18 2013-03-19 Tsmc Solid State Lighting Ltd. Light-emitting diode with current-spreading region
US20100062693A1 (en) * 2008-09-05 2010-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Two step method and apparatus for polishing metal and other films in semiconductor manufacturing
US8278152B2 (en) * 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US8080862B2 (en) * 2008-09-09 2011-12-20 Qualcomm Incorporate Systems and methods for enabling ESD protection on 3-D stacked devices
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US8653648B2 (en) * 2008-10-03 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Zigzag pattern for TSV copper adhesion
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US8307897B2 (en) * 2008-10-10 2012-11-13 Halliburton Energy Services, Inc. Geochemical control of fracturing fluids
TWI468093B (zh) 2008-10-31 2015-01-01 Princo Corp 多層基板之導孔結構及其製造方法
CN101728355A (zh) * 2008-11-03 2010-06-09 巨擘科技股份有限公司 多层基板的导孔结构及其制造方法
US8624360B2 (en) 2008-11-13 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling channels in 3DIC stacks
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US8168470B2 (en) 2008-12-08 2012-05-01 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure in substrate for IPD and baseband circuit separated by high-resistivity molding compound
US7989318B2 (en) 2008-12-08 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking semiconductor dies
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8749027B2 (en) * 2009-01-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Robust TSV structure
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8314483B2 (en) * 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8168529B2 (en) * 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
US8820728B2 (en) * 2009-02-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier
US8704375B2 (en) * 2009-02-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structures and methods for through substrate vias
US7932608B2 (en) * 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
US8531565B2 (en) * 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US9142586B2 (en) 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
US8643149B2 (en) * 2009-03-03 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stress barrier structures for semiconductor chips
US8487444B2 (en) 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US8344513B2 (en) * 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8329578B2 (en) * 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US8232140B2 (en) * 2009-03-27 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for ultra thin wafer handling and processing
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8432038B2 (en) * 2009-06-12 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure and a process for forming the same
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
US9305769B2 (en) 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
US8247906B2 (en) 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US11134598B2 (en) 2009-07-20 2021-09-28 Set North America, Llc 3D packaging with low-force thermocompression bonding of oxidizable materials
US8377816B2 (en) * 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8841766B2 (en) * 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8859424B2 (en) 2009-08-14 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier and method of manufacturing
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8252665B2 (en) * 2009-09-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer for adhesive material at wafer edge
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
CN102033877A (zh) * 2009-09-27 2011-04-27 阿里巴巴集团控股有限公司 检索方法和装置
US8647925B2 (en) * 2009-10-01 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification for handling wafer thinning process
US8264067B2 (en) * 2009-10-09 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via (TSV) wire bond architecture
US7969013B2 (en) * 2009-10-22 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via with dummy structure and method for forming the same
US8659155B2 (en) * 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US8283745B2 (en) * 2009-11-06 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside-illuminated image sensor
US8405201B2 (en) * 2009-11-09 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure
CN102082376B (zh) * 2009-11-30 2013-02-13 欣兴电子股份有限公司 电连接器
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8610270B2 (en) 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8390009B2 (en) 2010-02-16 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitting diode (LED) package systems
US8237272B2 (en) * 2010-02-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pillar structure for semiconductor substrate and method of manufacture
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8222139B2 (en) 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8507940B2 (en) 2010-04-05 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Heat dissipation by through silicon plugs
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US8519538B2 (en) 2010-04-28 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Laser etch via formation
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8866301B2 (en) 2010-05-18 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers with interconnection structures
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8471358B2 (en) 2010-06-01 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. 3D inductor and transformer
US9059026B2 (en) 2010-06-01 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. 3-D inductor and transformer
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US8362591B2 (en) 2010-06-08 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits and methods of forming the same
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US8500182B2 (en) 2010-06-17 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum wafer carriers for strengthening thin wafers
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8319336B2 (en) 2010-07-08 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of etch microloading for through silicon vias
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
US8482111B2 (en) 2010-07-19 2013-07-09 Tessera, Inc. Stackable molded microelectronic packages
US8722540B2 (en) 2010-07-22 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling defects in thin wafer handling
US8598695B2 (en) 2010-07-23 2013-12-03 Tessera, Inc. Active chip on carrier or laminated chip having microelectronic element embedded therein
US9299594B2 (en) 2010-07-27 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bonding system and method of modifying the same
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8507358B2 (en) 2010-08-27 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Composite wafer semiconductor
US8693163B2 (en) 2010-09-01 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Cylindrical embedded capacitors
US8928159B2 (en) 2010-09-02 2015-01-06 Taiwan Semiconductor Manufacturing & Company, Ltd. Alignment marks in substrate having through-substrate via (TSV)
US8502338B2 (en) 2010-09-09 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via waveguides
US8928127B2 (en) 2010-09-24 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Noise decoupling structure with through-substrate vias
US8525343B2 (en) 2010-09-28 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with through-silicon via (TSV) and method of forming the same
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US8836116B2 (en) 2010-10-21 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging of micro-electro-mechanical systems (MEMS) and complementary metal-oxide-semiconductor (CMOS) substrates
US8519409B2 (en) 2010-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Light emitting diode components integrated with thermoelectric devices
US8567837B2 (en) 2010-11-24 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Reconfigurable guide pin design for centering wafers having different sizes
US9153462B2 (en) 2010-12-09 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spin chuck for thin wafer cleaning
US8773866B2 (en) 2010-12-10 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Radio-frequency packaging with reduced RF loss
US8236584B1 (en) 2011-02-11 2012-08-07 Tsmc Solid State Lighting Ltd. Method of forming a light emitting diode emitter substrate with highly reflective metal bonding
US9059262B2 (en) 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8716128B2 (en) 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
KR101128063B1 (ko) 2011-05-03 2012-04-23 테세라, 인코포레이티드 캡슐화 층의 표면에 와이어 본드를 구비하는 패키지 적층형 어셈블리
US8546235B2 (en) 2011-05-05 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal-insulator-metal capacitors and methods of forming the same
US8674883B2 (en) 2011-05-24 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Antenna using through-silicon via
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8552485B2 (en) 2011-06-15 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having metal-insulator-metal capacitor structure
US8587127B2 (en) 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8766409B2 (en) 2011-06-24 2014-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for through-silicon via (TSV) with diffused isolation well
US8531035B2 (en) 2011-07-01 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect barrier structure and method
US8872345B2 (en) 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
US8604491B2 (en) 2011-07-21 2013-12-10 Tsmc Solid State Lighting Ltd. Wafer level photonic device die structure and method of making the same
US8445296B2 (en) 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
US8809073B2 (en) 2011-08-03 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for de-embedding through substrate vias
US9159907B2 (en) 2011-08-04 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid film for protecting MTJ stacks of MRAM
US8748284B2 (en) 2011-08-12 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing decoupling MIM capacitor designs for interposers
US8525278B2 (en) 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
US8546886B2 (en) 2011-08-24 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the device performance by forming a stressed backside dielectric layer
US8604619B2 (en) 2011-08-31 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via keep out zone formation along different crystal orientations
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US8836136B2 (en) 2011-10-17 2014-09-16 Invensas Corporation Package-on-package assembly with wire bond vias
US9087838B2 (en) 2011-10-25 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a high-K transformer with capacitive coupling
US8659126B2 (en) 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
US8610247B2 (en) 2011-12-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a transformer with magnetic features
US8896089B2 (en) 2011-11-09 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers for semiconductor devices and methods of manufacture thereof
US11264262B2 (en) 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US10381254B2 (en) 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US9390949B2 (en) 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8546953B2 (en) 2011-12-13 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via (TSV) isolation structures for noise reduction in 3D integrated circuit
US8890293B2 (en) 2011-12-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring for through vias
US8580647B2 (en) 2011-12-19 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Inductors with through VIAS
DE102012002129A1 (de) * 2012-02-03 2013-08-08 Hq-Dielectrics Gmbh Verfahren und vorrichtung zum freilegen von in einem halbleiter-substrat ausgebildeten durchkontaktierungen
US8618631B2 (en) 2012-02-14 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip ferrite bead inductor
US8946757B2 (en) 2012-02-17 2015-02-03 Invensas Corporation Heat spreading substrate with embedded interconnects
US9618712B2 (en) 2012-02-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate and method of making the same
US10180547B2 (en) 2012-02-23 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate
US9293521B2 (en) 2012-03-02 2016-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Concentric capacitor structure
US8860114B2 (en) 2012-03-02 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a fishbone differential capacitor
WO2013134054A1 (en) * 2012-03-04 2013-09-12 Set North America, Llc 3d packaging with low-force thermocompression bonding of oxidizable materials
US9312432B2 (en) 2012-03-13 2016-04-12 Tsmc Solid State Lighting Ltd. Growing an improved P-GaN layer of an LED through pressure ramping
US20130241057A1 (en) * 2012-03-14 2013-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for Direct Connections to Through Vias
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
US8835228B2 (en) 2012-05-22 2014-09-16 Invensas Corporation Substrate-less stackable package with wire-bond interconnect
US9583365B2 (en) 2012-05-25 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnects for three dimensional integrated circuit
US9502390B2 (en) 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US10283854B2 (en) 2012-10-08 2019-05-07 Taoglas Group Holdings Limited Low-cost ultra wideband LTE antenna
US20140145345A1 (en) * 2012-11-27 2014-05-29 Infineon Technologies Ag Method of forming a semiconductor structure, and a semiconductor structure
US9490133B2 (en) 2013-01-24 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Etching apparatus
US9484211B2 (en) 2013-01-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Etchant and etching process
US9041152B2 (en) 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor with magnetic material
US9167710B2 (en) 2013-08-07 2015-10-20 Invensas Corporation Embedded packaging with preformed vias
US20150050792A1 (en) * 2013-08-13 2015-02-19 Globalfoundries Inc. Extra narrow diffusion break for 3d finfet technologies
WO2015026483A1 (en) * 2013-08-21 2015-02-26 Gtat Corporation Using an active solder to couple a metallic article to a photovoltaic cell
US20150076714A1 (en) 2013-09-16 2015-03-19 Invensas Corporation Microelectronic element with bond elements to encapsulation surface
US9583456B2 (en) 2013-11-22 2017-02-28 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
US9379074B2 (en) 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
US9583411B2 (en) 2014-01-17 2017-02-28 Invensas Corporation Fine pitch BVA using reconstituted wafer with area array accessible for testing
JP6120176B2 (ja) * 2014-05-08 2017-04-26 パナソニックIpマネジメント株式会社 半導体製造方法および半導体製造装置
US10381326B2 (en) 2014-05-28 2019-08-13 Invensas Corporation Structure and method for integrated circuits packaging with increased density
US10438804B2 (en) 2014-11-12 2019-10-08 Ontos Equipment Systems Simultaneous hydrophilization of photoresist and metal surface preparation: methods, systems, and products
US9888579B2 (en) 2015-03-05 2018-02-06 Invensas Corporation Pressing of wire bond wire tips to provide bent-over tips
US9502372B1 (en) 2015-04-30 2016-11-22 Invensas Corporation Wafer-level packaging using wire bond wires in place of a redistribution layer
US9761554B2 (en) 2015-05-07 2017-09-12 Invensas Corporation Ball bonding metal wire bond wires to metal pads
US10490528B2 (en) 2015-10-12 2019-11-26 Invensas Corporation Embedded wire bond wires
US9490222B1 (en) 2015-10-12 2016-11-08 Invensas Corporation Wire bond wires for interference shielding
US10332854B2 (en) 2015-10-23 2019-06-25 Invensas Corporation Anchoring structure of fine pitch bva
US10181457B2 (en) 2015-10-26 2019-01-15 Invensas Corporation Microelectronic package for wafer-level chip scale packaging with fan-out
US9911718B2 (en) 2015-11-17 2018-03-06 Invensas Corporation ‘RDL-First’ packaged microelectronic device for a package-on-package device
US9755310B2 (en) 2015-11-20 2017-09-05 Taoglas Limited Ten-frequency band antenna
US9984992B2 (en) 2015-12-30 2018-05-29 Invensas Corporation Embedded wire bond wires for vertical integration with separate surface mount and wire bond mounting surfaces
US9748106B2 (en) * 2016-01-21 2017-08-29 Micron Technology, Inc. Method for fabricating semiconductor package
US9935075B2 (en) 2016-07-29 2018-04-03 Invensas Corporation Wire bonding method and apparatus for electromagnetic interference shielding
US10299368B2 (en) 2016-12-21 2019-05-21 Invensas Corporation Surface integrated waveguides and circuit structures therefor

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US399317A (en) * 1889-03-12 Post-hole-boring machine
US4139401A (en) 1963-12-04 1979-02-13 Rockwell International Corporation Method of producing electrically isolated semiconductor devices on common crystalline substrate
US3761782A (en) * 1971-05-19 1973-09-25 Signetics Corp Semiconductor structure, assembly and method
US3739463A (en) 1971-10-18 1973-06-19 Gen Electric Method for lead attachment to pellets mounted in wafer alignment
US3810129A (en) 1972-10-19 1974-05-07 Ibm Memory system restoration
US3838501A (en) 1973-02-09 1974-10-01 Honeywell Inf Systems Method in microcircuit package assembly providing nonabrasive, electrically passive edges on integrated circuit chips
US3881884A (en) 1973-10-12 1975-05-06 Ibm Method for the formation of corrosion resistant electronic interconnections
JPS5157283A (en) 1974-11-15 1976-05-19 Nippon Electric Co Handotaikibanno bunkatsuhoho
US3993917A (en) 1975-05-29 1976-11-23 International Business Machines Corporation Parameter independent FET sense amplifier
FR2328286A1 (fr) * 1975-10-14 1977-05-13 Thomson Csf Procede de fabrication de dispositifs a semiconducteurs, presentant une tres faible resistance thermique, et dispositifs obtenus par ledit procede
DE8022820U1 (de) 1980-08-27 1981-01-22 Weisert, Loser & Sohn Gmbh & Co, 7500 Karlsruhe Vorrichtung zum einfuehren einer aus einer foerdereinrichtung gedrueckten bonbon-fuellung in eine strangformmaschine
US4368106A (en) 1980-10-27 1983-01-11 General Electric Company Implantation of electrical feed-through conductors
US4394712A (en) 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4467518A (en) 1981-05-19 1984-08-28 Ibm Corporation Process for fabrication of stacked, complementary MOS field effect transistor circuits
US4463336A (en) 1981-12-28 1984-07-31 United Technologies Corporation Ultra-thin microelectronic pressure sensors
US4954458A (en) * 1982-06-03 1990-09-04 Texas Instruments Incorporated Method of forming a three dimensional integrated circuit structure
JPS607149A (ja) * 1983-06-24 1985-01-14 Nec Corp 半導体装置の製造方法
US4603341A (en) 1983-09-08 1986-07-29 International Business Machines Corporation Stacked double dense read only memory
GB2150749B (en) * 1983-12-03 1987-09-23 Standard Telephones Cables Ltd Integrated circuits
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
US4628174A (en) 1984-09-17 1986-12-09 General Electric Company Forming electrical conductors in long microdiameter holes
JPS61112345A (ja) 1984-11-07 1986-05-30 Toshiba Corp 半導体装置の製造方法
US4807021A (en) 1986-03-10 1989-02-21 Kabushiki Kaisha Toshiba Semiconductor device having stacking structure
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPS62219954A (ja) 1986-03-20 1987-09-28 Fujitsu Ltd 三次元icの製造方法
US4897708A (en) 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
JPS63149531A (ja) 1986-12-12 1988-06-22 Fuji Electric Co Ltd 静電容量式圧力センサ
US4729971A (en) 1987-03-31 1988-03-08 Microwave Semiconductor Corporation Semiconductor wafer dicing techniques
US4842669A (en) 1987-07-23 1989-06-27 The Original Lincoln Logs Ltd. Method of manufacture and assembly system for a structural wall panel
US4822755A (en) 1988-04-25 1989-04-18 Xerox Corporation Method of fabricating large area semiconductor arrays
US4842699A (en) 1988-05-10 1989-06-27 Avantek, Inc. Method of selective via-hole and heat sink plating using a metal mask
US5225771A (en) 1988-05-16 1993-07-06 Dri Technology Corp. Making and testing an integrated circuit using high density probe points
US5323035A (en) 1992-10-13 1994-06-21 Glenn Leedy Interconnection structure for integrated circuits and method for making same
DE3827587A1 (de) * 1988-08-13 1990-03-01 Basf Lacke & Farben Aminogruppenhaltige copolymerisate, verfahren zu ihrer herstellung sowie ihre verwendung in beschichtungsmitteln
US5191405A (en) 1988-12-23 1993-03-02 Matsushita Electric Industrial Co., Ltd. Three-dimensional stacked lsi
US5463246A (en) * 1988-12-29 1995-10-31 Sharp Kabushiki Kaisha Large scale high density semiconductor apparatus
US4978639A (en) 1989-01-10 1990-12-18 Avantek, Inc. Method for the simultaneous formation of via-holes and wraparound plating on semiconductor chips
DE19538634C2 (de) 1995-10-17 1997-09-04 Itt Ind Gmbh Deutsche Verfahren zum Vereinzeln von elektronischen Elementen aus einem Halbleiterwafer
DE3911711A1 (de) 1989-04-10 1990-10-11 Ibm Modul-aufbau mit integriertem halbleiterchip und chiptraeger
JPH0750700B2 (ja) 1989-06-27 1995-05-31 三菱電機株式会社 半導体チップの製造方法
US5071792A (en) 1990-11-05 1991-12-10 Harris Corporation Process for forming extremely thin integrated circuit dice
US5399898A (en) 1992-07-17 1995-03-21 Lsi Logic Corporation Multi-chip semiconductor arrangements using flip chip dies
US5160987A (en) 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5064771A (en) 1990-04-13 1991-11-12 Grumman Aerospace Corporation Method of forming crystal array
JPH0425122A (ja) 1990-05-18 1992-01-28 Fujitsu Ltd 半導体処理装置
US5135878A (en) * 1990-08-28 1992-08-04 Solid State Devices, Inc. Schottky diode
GB9018766D0 (en) * 1990-08-28 1990-10-10 Lsi Logic Europ Stacking of integrated circuits
JPH04133472A (ja) * 1990-09-26 1992-05-07 Toshiba Corp 化合物半導体装置及びその製造方法
US5166097A (en) 1990-11-26 1992-11-24 The Boeing Company Silicon wafers containing conductive feedthroughs
GB2271124B (en) 1990-12-26 1995-09-27 Opa Method and apparatus for plasma treatment of a material
GB2271044B (en) 1990-12-26 1995-06-21 Opa Apparatus for plasma-arc machining
DE4105751A1 (de) 1991-02-23 1992-08-27 Bayer Ag Substituierte pyridylpyrimidine, deren herstellung und ihre verwendung und neue zwischenprodukte
JPH04276645A (ja) 1991-03-04 1992-10-01 Toshiba Corp 化合物半導体ウエーハのダイシング方法
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
US5270261A (en) 1991-09-13 1993-12-14 International Business Machines Corporation Three dimensional multichip package methods of fabrication
JPH0715969B2 (ja) 1991-09-30 1995-02-22 インターナショナル・ビジネス・マシーンズ・コーポレイション マルチチツプ集積回路パツケージ及びそのシステム
FR2684513B1 (fr) 1991-11-29 1994-01-07 Alcatel Cit Bati d'equipements electroniques, notamment de telecommunications.
JPH05183019A (ja) * 1991-12-27 1993-07-23 Hitachi Ltd 半導体装置およびその製造方法
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5259924A (en) 1992-04-08 1993-11-09 Micron Technology, Inc. Integrated circuit fabrication process to reduce critical dimension loss during etching
JPH0779144B2 (ja) 1992-04-21 1995-08-23 インターナショナル・ビジネス・マシーンズ・コーポレイション 耐熱性半導体チップ・パッケージ
US5414637A (en) 1992-06-24 1995-05-09 International Business Machines Corporation Intra-module spare routing for high density electronic packages
US5489554A (en) * 1992-07-21 1996-02-06 Hughes Aircraft Company Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
US5268326A (en) 1992-09-28 1993-12-07 Motorola, Inc. Method of making dielectric and conductive isolated island
US5313097A (en) 1992-11-16 1994-05-17 International Business Machines, Corp. High density memory module
US5322816A (en) 1993-01-19 1994-06-21 Hughes Aircraft Company Method for forming deep conductive feedthroughs
US5340771A (en) 1993-03-18 1994-08-23 Lsi Logic Corporation Techniques for providing high I/O count connections to semiconductor dies
DE4310206C2 (de) 1993-03-29 1995-03-09 Siemens Ag Verfahren zur Herstellung einer Solarzelle aus einer Substratscheibe
DE4314913C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kontaktstrukturierung für vertikale Kontaktierung mit weiteren Halbleiterbauelementen
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
CA2118994A1 (en) 1993-06-21 1994-12-22 Claude L. Bertin Polyimide-insulated cube package of stacked semiconductor device chips
US5561622A (en) 1993-09-13 1996-10-01 International Business Machines Corporation Integrated memory cube structure
US5502667A (en) 1993-09-13 1996-03-26 International Business Machines Corporation Integrated multichip memory module structure
US5560086A (en) * 1994-03-14 1996-10-01 Huang; Han-Ching Strapping mechanism having a safety device
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5502333A (en) 1994-03-30 1996-03-26 International Business Machines Corporation Semiconductor stack structures and fabrication/sparing methods utilizing programmable spare circuit
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
BE1008384A3 (nl) * 1994-05-24 1996-04-02 Koninkl Philips Electronics Nv Werkwijze voor het vervaardigen van halfgeleiderinrichtingen met halfgeleiderelementen gevormd in een op een dragerplak aangebrachte laag halfgeleidermateriaal.
US5517754A (en) 1994-06-02 1996-05-21 International Business Machines Corporation Fabrication processes for monolithic electronic modules
JPH10508430A (ja) 1994-06-09 1998-08-18 チップスケール・インコーポレーテッド 抵抗器の製造
US5472914A (en) * 1994-07-14 1995-12-05 The United States Of America As Represented By The Secretary Of The Air Force Wafer joined optoelectronic integrated circuits and method
US5550942A (en) 1994-07-18 1996-08-27 Sheem; Sang K. Micromachined holes for optical fiber connection
MY114888A (en) 1994-08-22 2003-02-28 Ibm Method for forming a monolithic electronic module by stacking planar arrays of integrated circuit chips
US5596226A (en) 1994-09-06 1997-01-21 International Business Machines Corporation Semiconductor chip having a chip metal layer and a transfer metal and corresponding electronic module
US5567653A (en) 1994-09-14 1996-10-22 International Business Machines Corporation Process for aligning etch masks on an integrated circuit surface using electromagnetic energy
DE4433846C2 (de) * 1994-09-22 1999-06-02 Fraunhofer Ges Forschung Verfahren zur Herstellung einer vertikalen integrierten Schaltungsstruktur
US5506753A (en) 1994-09-26 1996-04-09 International Business Machines Corporation Method and apparatus for a stress relieved electronic module
US5567654A (en) 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
US5466634A (en) 1994-12-20 1995-11-14 International Business Machines Corporation Electronic modules with interconnected surface metallization layers and fabrication methods therefore
RU2075135C1 (ru) 1995-01-13 1997-03-10 Акционерное общество Научно-производственная фирма "А3" Установка для плазмоструйной обработки пластин
JPH08201432A (ja) * 1995-01-25 1996-08-09 Matsushita Electric Ind Co Ltd プローブシート及びその製造方法
JP3197788B2 (ja) 1995-05-18 2001-08-13 株式会社日立製作所 半導体装置の製造方法
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5646067A (en) 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5648684A (en) 1995-07-26 1997-07-15 International Business Machines Corporation Endcap chip with conductive, monolithic L-connect for multichip stack
US5691248A (en) 1995-07-26 1997-11-25 International Business Machines Corporation Methods for precise definition of integrated circuit chip edges
TW374211B (en) 1995-08-03 1999-11-11 Ibm Machine structures fabricated of multiple microstructure layers
US5603556A (en) 1995-11-20 1997-02-18 Technical Services And Marketing, Inc. Rail car load sensor
US5851845A (en) 1995-12-18 1998-12-22 Micron Technology, Inc. Process for packaging a semiconductor die using dicing and testing
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
US6083811A (en) 1996-02-07 2000-07-04 Northrop Grumman Corporation Method for producing thin dice from fragile materials
DE19613561C2 (de) 1996-04-04 2002-04-11 Micronas Gmbh Verfahren zum Vereinzeln von in einem Körper miteinander verbundenen, elektrisch getesteten elektronischen Elementen
WO1997045862A1 (en) 1996-05-31 1997-12-04 Ipec Precision, Inc. Non-contact holder for wafer-like articles
EP0902961B1 (en) 1996-05-31 2000-07-05 IPEC Precision, Inc. Method for treating articles with a plasma jet
US5858256A (en) * 1996-07-11 1999-01-12 The Board Of Trustees Of The Leland Stanford, Jr. University Method of forming small aperture
EP2270846A3 (en) 1996-10-29 2011-12-21 ALLVIA, Inc. Integrated circuits and methods for their fabrication
KR100222299B1 (ko) 1996-12-16 1999-10-01 윤종용 웨이퍼 레벨 칩 스케일 패키지 및 그의 제조 방법
DE19707887C2 (de) * 1997-02-27 2002-07-11 Micronas Semiconductor Holding Verfahren zum Herstellen und Trennen von elektronischen Elementen mit leitfähigen Kontaktanschlüssen
JP3955659B2 (ja) 1997-06-12 2007-08-08 リンテック株式会社 電子部品のダイボンディング方法およびそれに使用されるダイボンディング装置
JPH1140520A (ja) 1997-07-23 1999-02-12 Toshiba Corp ウェーハの分割方法及び半導体装置の製造方法
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6036872A (en) * 1998-03-31 2000-03-14 Honeywell Inc. Method for making a wafer-pair having sealed chambers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150045953A (ko) * 2007-07-31 2015-04-29 인벤사스 코포레이션 실리콘 쓰루 비아를 사용하는 반도체 패키지 공정

Also Published As

Publication number Publication date
EP2270845A3 (en) 2013-04-03
WO1998019337A1 (en) 1998-05-07
US6420209B1 (en) 2002-07-16
US20020127868A1 (en) 2002-09-12
EP2270846A3 (en) 2011-12-21
JP3537447B2 (ja) 2004-06-14
US6184060B1 (en) 2001-02-06
JP2000510288A (ja) 2000-08-08
KR100377033B1 (ko) 2003-03-26
US20020063311A1 (en) 2002-05-30
US6639303B2 (en) 2003-10-28
EP0948808A1 (en) 1999-10-13
EP0948808A4 (en) 2000-05-10
US6740582B2 (en) 2004-05-25
EP2270845A2 (en) 2011-01-05
EP2270846A2 (en) 2011-01-05

Similar Documents

Publication Publication Date Title
KR100377033B1 (ko) Ic 및 그 제조방법
EP3803972B1 (en) Die stacking for multi-tier 3d integration
US20200035641A1 (en) Post cmp processing for hybrid bonding
US6908565B2 (en) Etch thinning techniques for wafer-to-wafer vertical stacks
US6972480B2 (en) Methods and apparatus for packaging integrated circuit devices
US8097955B2 (en) Interconnect structures and methods
US20030080398A1 (en) Packaged integrated circuits and methods of producing thereof
KR100840502B1 (ko) 반도체 장치 및 그 제조 방법
US20030102551A1 (en) Semiconductor device and method for manufacturing
TW200905842A (en) Methods of forming conductive vias through substrates, and structures and assemblies resulting therefrom
US6806556B2 (en) Semiconductor wafer, method of manufacturing semiconductor wafer having bumps, semiconductor chip having bumps and method of manufacturing the same, semiconductor device, circuit board, and electronic equipment
US5336930A (en) Backside support for thin wafers
JP2004273591A (ja) 半導体装置及びその製造方法
JP2013537363A (ja) 犠牲材料を使用して半導体構造体中にウェーハ貫通相互接続部を形成する方法、及びかかる方法により形成される半導体構造体
EP1503406A2 (en) Back-side contact pads of a semiconductor chip
TWI838840B (zh) 晶圓後段製程的處理方法及晶圓級半導體結構
US11488931B2 (en) Encapsulated fan-in semiconductor package with heat spreader and method of manufacturing the same
US20210028060A1 (en) Contact fabrication to mitigate undercut
TW202412086A (zh) 晶圓後段製程的處理方法及晶圓級半導體結構
US20060063365A1 (en) Aluminum cap for reducing scratch and wire-bond bridging of bond pads
CN111081676A (zh) 一种防漏电tsv背面露头结构及其制造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130307

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20140220

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20150226

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20160224

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20170307

Year of fee payment: 15

EXPY Expiration of term