KR102561732B1 - 3차원 메모리 장치의 쓰루 어레이 컨택 구조 - Google Patents

3차원 메모리 장치의 쓰루 어레이 컨택 구조 Download PDF

Info

Publication number
KR102561732B1
KR102561732B1 KR1020217042772A KR20217042772A KR102561732B1 KR 102561732 B1 KR102561732 B1 KR 102561732B1 KR 1020217042772 A KR1020217042772 A KR 1020217042772A KR 20217042772 A KR20217042772 A KR 20217042772A KR 102561732 B1 KR102561732 B1 KR 102561732B1
Authority
KR
South Korea
Prior art keywords
region
alternating
memory device
structures
dielectric stack
Prior art date
Application number
KR1020217042772A
Other languages
English (en)
Other versions
KR20220000956A (ko
Inventor
젠유 루
웬구앙 시
구안핑 우
샨진 완
바오유 첸
Original Assignee
양쯔 메모리 테크놀로지스 씨오., 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from CN201710135329.2A external-priority patent/CN106920794B/zh
Priority claimed from CN201710135654.9A external-priority patent/CN107068687B/zh
Application filed by 양쯔 메모리 테크놀로지스 씨오., 엘티디. filed Critical 양쯔 메모리 테크놀로지스 씨오., 엘티디.
Priority to KR1020237025666A priority Critical patent/KR20230117633A/ko
Publication of KR20220000956A publication Critical patent/KR20220000956A/ko
Application granted granted Critical
Publication of KR102561732B1 publication Critical patent/KR102561732B1/ko

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

3D 메모리 장치의 쓰루 어레이 컨택 구조(through array contact structures) 및 그 제조 방법에 관한 실시예가 개시된다. 3D NAND 메모리 장치는 주변 회로를 갖는 기판, 및 이 기판 상에 배치된 교번 층 스택(alternating layer stack)을 포함한다. 이 교번 층 스택은 교번 유전체 스택을 포함하는 제1 영역, 교번 도전체/유전체 스택을 포함하는 제2 영역, 및 교번 도전체/유전체 층 스택의 에지 상에 있는 계단 구조를 포함하는 제3 영역을 포함한다. 메모리 장치는 제1 영역을 제2 영역 또는 제3 영역으로부터 측 방향으로 분리하기 위해 교번 층 스택을 통과하며 수직으로 연장되는 장벽 구조와, 각각이 교번 도전체/유전체 스택을 통과하며 수직으로 연장되는 복수의 슬릿 구조 및 복수의 채널 구조와, 제1 영역 내의 복수의 쓰루 어레이 컨택- 각각의 쓰루 어레이 컨택은 교번 유전체 스택을 통과하며 수직으로 연장됨 -을 더 포함한다. 적어도 하나의 쓰루 어레이 컨택은 적어도 하나의 주변 회로에 전기적으로 연결된다.

Description

3차원 메모리 장치의 쓰루 어레이 컨택 구조{THROUGH ARRAY CONTACT STRUCTURE OF THREE-DIMENSIONAL MEMORY DEVICE}
관련 출원에 대한 상호 참조
본 출원은 본 명세서에서 그 전체가 참조로서 인용되는 2017년 3월 8일에 출원된 중국 특허 출원 번호 제201710135654.9호 및 2017년 3월 8일에 출원된 중국 특허 출원 번호 제201710135329.2호를 우선권으로 주장한다.
본 개시의 실시예는 3차원(3D) 메모리 장치 및 그 제조 방법에 관한 것이다.
평면 메모리 셀은 프로세스 기술, 회로 설계, 프로그래밍 알고리즘, 및 제조 프로세스를 개선함으로써 더 작은 크기로 조정된다. 그러나, 메모리 셀의 기능 크기가 하한에 가까워질수록, 평면 프로세스 및 제조 기술은 어려움에 부딪히게 되고 비용이 많이 들게 된다. 그에 따라, 평면 메모리 셀의 메모리 밀도는 최대 한계에 도달하게 된다.
3D 메모리 아키텍처는 평면 메모리 셀에서의 밀도 한계를 해결할 수 있다. 3D 메모리 아키텍처는 메모리 어레이, 및 이 메모리 어레이로 및 그로부터의 신호를 제어하기 위한 주변 장치를 포함한다.
3D 메모리 장치의 쓰루 어레이 컨택(through array contact: TAC) 구조 및 그 제조 방법에 관한 실시예가 본 명세서에 개시된다.
기판 상에 배치된 교번 층 스택(alternating layer stack)을 포함하는 3차원(3D) NAND 메모리 장치가 개시된다. 이 교번 층 스택은 복수의 유전체 층 쌍(a plurality of dielectric layer pairs)을 포함하는 교번 유전체 스택을 포함하는 제1 영역과, 복수의 도전체/유전체 층 쌍을 포함하는 교번 도전체/유전체 스택을 포함하는 제2 영역을 포함할 수 있다 3차원(3D) NAND 메모리 장치는 제1 영역을 제2 영역으로부터 측 방향으로 분리하기 위해 교번 층 스택을 통과하며 수직으로 연장되는 장벽 구조와, 제1 영역 내의 복수의 쓰루 어레이 컨택- 각각의 쓰루 어레이 컨택은 교번 유전체 스택을 통과하며 수직으로 연장됨 -을 더 포함한다. 복수의 쓰루 어레이 컨택 중 적어도 하나는 적어도 하나의 주변 회로에 전기적으로 연결된다.
일부 실시예에서, 장벽 구조는 실리콘 산화물 및 실리콘 질화물을 포함한다. 복수의 유전체 층 쌍 각각은 실리콘 산화물 층 및 실리콘 질화물 층을 포함하고, 복수의 도전체/유전체 층 쌍 각각은 금속 층 및 실리콘 산화물 층을 포함한다. 복수의 유전체 층 쌍의 개수는 최소 32개이고, 복수의 도전체/유전체 층 쌍의 개수는 최소 32개이다.
3차원(3D) NAND 메모리 장치는 복수의 슬릿 구조를 더 포함하되, 각각의 슬릿 구조는 교번 도전체/유전체 스택을 통과하며 수직으로 그리고 워드 라인을 따라 측 방향으로 연장되어 교번 도전체/유전체 스택을 복수의 메모리 핑거로 분할한다.
일부 실시예에서, 장벽 구조는 워드 라인 방향을 따라 측 방향으로 연장되고, 제1 영역은 장벽 구조에 의해 제2 영역으로부터 분리되며 두 개의 이웃하는 슬릿 구조 사이에 샌드위치된다.
일부 실시예에서, 장벽 구조는 워드 라인 방향과 다른 비트 라인 방향을 따라 측 방향으로 연장되어 제1 영역을 제2 영역으로부터 측 방향으로 분리한다. 비트 라인 방향은 워드 라인 방향에 대해 수직일 수 있다.
일부 실시예에서, 비트 라인 방향에서 장벽 구조에 의해 둘러싸인 제1 영역의 폭은 두 개의 이웃하는 슬릿 구조 사이의 거리보다 더 크다. 장벽 구조에 의해 둘러싸인 제1 영역은 두 개의 상부 선택적 게이트 계단 영역(two top selective gate staircase regions) 사이에서 워드 라인 방향을 따라 샌드위치된다. 각각의 상부 선택적 게이트 계단 영역 내의 교번 도전체/유전체 스택의 적어도 두 개의 상부 층은 계단 구조를 갖는다.
3차원(3D) NAND 메모리 장치는 상부 선택적 게이트 계단 영역 내의 계단 구조 상에 적어도 하나의 도전체 층을 더 포함하되, 이 도전체 층은, 제2 영역 내의 교번 도전체/유전체 스택 위에 있으며 워드 라인 방향에서 장벽 구조에 의해 둘러싸인 제1 영역의 양 측면 상에 있는 상부 선택 게이트를 상호연결하도록 구성된다. 메모리 장치는 대응하는 장벽 구조에 의해 둘러싸인 적어도 두 개의 제1 영역을 더 포함하고, 각각의 제1 영역은 비트 라인 방향을 따라 평행하게 연장된다.
3차원(3D) NAND 메모리 장치는 제2 영역으로부터 복수의 제1 영역을 폐쇄하기 위한 복수의 장벽 구조를 더 포함하되, 복수의 제1 영역은 비트 라인 방향으로 정렬된다. 복수의 제1 영역 각각은 비트 라인 방향에서 두 개의 이웃하는 슬릿 구조 사이에 샌드위치된다. 복수의 제1 영역은 비트 라인 방향으로 적어도 두 개의 열(column)로서 정렬된다. 비트 라인 방향에서 두 개의 이웃하는 장벽 구조에 의해 샌드위치된 적어도 하나의 슬릿 구조는 갭을 포함하고 이웃하는 메모리 핑거의 워드 라인을 상호연결하도록 구성된다.
일부 실시예에서, 제1 영역은 비트 라인 방향을 따라 교번 도전체/유전체 층 스택의 에지 상에 있는 계단 구조로부터 장벽 구조에 의해 분리된다. 장벽 구조의 개구부는 비트 라인 방향을 따라 교번 층 스택의 에지에 있다. 비트 라인 방향에서 제1 영역의 폭은 두 개의 이웃하는 슬릿 구조 사이의 거리보다 더 크다. 비트 라인 방향에서 제1 영역의 폭은 비트 라인 방향을 따라 교번 도전체/유전체 층 스택의 에지 상에 있는 계단 구조 내의 두 개의 이웃하는 슬릿 구조 사이의 최대 거리보다 작다.
3차원(3D) NAND 메모리 장치는 장벽 구조에 인접한 복수의 더미 채널 구조를 더 포함하되, 각각의 더미 채널 구조는 교번 도전체/유전체 스택을 통과하며 수직으로 연장된다.
본 개시의 또 다른 양상은 3차원(3D) NAND 메모리 장치를 형성하는 방법을 제공하는데, 이 방법은 기판 상에, 복수의 유전체 층 쌍을 포함하는 교번 유전체 스택을 형성하는 단계- 복수의 유전체 층 쌍 각각은 제1 유전체 층 및 제1 유전체 층과 다른 제2 유전체 층을 포함함 -와, 각각이 교번 유전체 스택을 통과하며 수직으로 연장되는 적어도 하나의 장벽 구조를 형성하는 단계- 적어도 하나의 장벽 구조는 교번 유전체 스택을, 제2 영역, 및 적어도 장벽 구조에 의해 측 방향으로 둘러싸인 적어도 하나의 제1 영역으로 분리함 -와, 복수의 슬릿을 형성하고, 이 슬릿을 통해, 교번 유전체 스택의 제2 부분 내의 제1 유전체 층을 도전체 층으로 대체하여 복수의 도전체/유전체 층 쌍을 포함하는 교번 도전체/유전체 스택을 형성하는 단계와, 도전성 물질을 슬릿 내로 증착하여 복수의 슬릿 구조를 형성하는 단계와, 제1 영역 내에 복수의 쓰루 어레이 컨택을 형성하는 단계를 포함하되, 각각의 쓰루 어레이 컨택은 교번 유전체 스택을 통과하며 수직으로 연장되어, 복수의 쓰루 어레이 컨택 중 적어도 하나를 적어도 하나의 주변 회로에 전기적으로 연결한다.
방법은 적어도 하나의 주변 회로를 베이스 기판 상에 형성하는 단계와, 복수의 쓰루 어레이 컨택 중 적어도 하나를 적어도 하나의 주변 회로에 전기적으로 연결하기 위해 적어도 하나의 상호연결 구조를 형성하는 단계와, 적어도 하나의 주변 회로 위에 에피택셜 기판을 형성하는 단계를 포함한다. 기판은 적어도 베이스 기판 및 에피택셜 기판을 포함한다.
방법은 슬릿을 형성하기 전에, 에피택셜 기판 내에 복수의 도핑된 영역을 형성하여, 각 슬릿 구조가 대응하는 도핑된 영역에 접촉되게 하는 단계와, 적어도 하나의 주변 회로와 전기적으로 연결되도록 상호연결 구조를 노출하기 위해 적어도 하나의 제1 영역에 대응하는 적어도 하나의 개구부를 에피택셜 기판에 형성하는 단계와, 적어도 하나의 개구부를 유전성 물질로 채우는 단계를 더 포함한다.
방법은 워드 라인 방향을 따라 연장하도록 복수의 슬릿 구조를 측 방향으로 형성하여 교번 도전체/유전체 스택을 복수의 메모리 핑거로 나누는 단계를 더 포함한다.
방법은 워드 라인 방향을 따라 연장하도록 두 개의 병렬 장벽 구조를 측 방향으로 형성하여, 제1 영역이 두 개의 병렬 장벽 구조에 의해 제2 영역으로부터 분리되고 두 개의 이웃하는 슬릿 구조 사이에 샌드위치되는 단계를 더 포함한다.
방법은 워드 라인 방향과 다른 비트 라인 방향을 따라 연장하는 장벽 구조를 측 방향으로 형성하여 제1 영역을 제2 영역으로부터 측 방향으로 분리하는 단계를 더 포함한다.
방법은 워드 라인에 수직인 비트 라인을 따라 측 방향으로 연장하는 장벽 구조를 형성하는 단계를 더 포함한다.
방법은 비트 라인 방향에서 장벽 구조에 의해 둘러싸인 제1 영역의 폭이 두 개의 이웃하는 슬릿 구조 사이의 거리보다 크도록 장벽 구조를 형성하는 단계를 더 포함한다.
방법은 장벽 구조에 인접한 교번 유전체 스택 내에 계단 구조를 형성하는 단계를 더 포함한다.
방법은 제2 영역에서 교번 도전체/유전체 스택 위에 있으며, 워드 라인 방향에서 장벽 구조에 의해 둘러싸인 제1 영역의 양 측면 상에 있는 상부 선택 게이트를 상호연결하기 위해 장벽 구조에 인접한 계단 구조 상에 적어도 하나의 도전체 층을 형성하는 단계를 더 포함한다.
방법은 비트 라인 방향을 따라 평행하게 연장되는 적어도 두 개의 제1 영역을 둘러싸기 위해 적어도 두 개의 장벽 구조를 형성하는 단계를 더 포함한다.
방법은 비트 라인 방향으로 정렬되는 복수의 제1 영역을 제2 영역으로부터 폐쇄하기 위해 복수의 장벽 구조를 형성하여, 복수의 제1 영역 각각이 비트 라인 방향에서 두 개의 이웃하는 슬릿 구조 사이에 샌드위치되게 하는 단계를 더 포함한다.
방법은 복수의 장벽 구조에 의해 둘러싸인 복수의 제1 영역이 비트 라인 방향을 따라 적어도 두 개의 열로 정렬되도록 복수의 장벽 구조를 형성하는 단계를 더 포함한다.
방법은 이웃하는 메모리 핑거의 워드 라인을 상호연결하기 위해 비트 라인 방향에서 두 개의 이웃하는 장벽 구조에 의해 샌드위치되는 적어도 하나의 슬릿 구조 내에 갭을 형성하는 단계를 더 포함한다.
방법은 교번 스택의 에지에서 계단 구조 내의 제1 영역을 분리하기 위해 장벽 구조를 형성하는 단계를 더 포함하되, 장벽 구조의 개구부는 워드 라인 방향과 다른 비트 라인 방향을 따라 교번 스택 층의 에지에 위치한다.
방법은 비트 라인 방향에서 제1 영역의 폭이 두 개의 이웃하는 슬릿 구조 사이의 거리보다 크도록 장벽 구조를 형성하는 단계를 더 포함한다.
방법은 비트 라인 방향에서 제1 영역의 폭이 계단 구조에서 두 개의 이웃하는 슬릿 구조 사이의 최대 거리보다 작도록 장벽 구조를 형성하는 단계를 더 포함한다.
방법은 장벽 구조에 인접한 복수의 더미 채널 구조를 형성하는 단계를 더 포함하되, 각각의 더미 채널 구조는 교번 도전체/유전체 스택을 통과하며 수직으로 연장된다.
당업자라면, 본 개시의 상세한 설명, 청구항 및 도면을 참조하면 본 개시의 다른 측면을 이해할 수 있을 것이다.
본 명세서에 포함되며 본 명세서의 일부를 구성하는 첨부 도면은 본 개시의 실시예를 예시하고, 더 나아가 상세한 설명과 함께, 본 개시의 원리를 설명하고 당업자가 본 개시를 구성하고 사용할 수 있게 해주는 역할을 한다.
도 1은 본 개시의 몇몇 실시예에 따라, 예시적인 3D 메모리 장치의 계략도를 평면도로 도시한다.
도 2는 본 개시의 몇몇 실시예에 따라, 예시적인 비트 라인 쓰루 어레이 컨택 영역을 포함하는 3D 메모리 장치의 영역의 개략적인 확대도를 도시한다.
도 3a 내지 도 3d는 본 개시의 몇몇 실시예에 따라, 다양한 예시적인 워드 라인 쓰루 어레이 컨택 영역을 포함하는 3D 메모리 장치의 영역의 개략적인 확대도를 도시한다.
도 4a 및 도 4b는 본 개시의 몇몇 실시예에 따라, 다양한 예시적인 계단 구조 쓰루 어레이 컨택 영역을 포함하는 3D 메모리 장치의 영역의 개략적인 확대도를 도시한다.
도 5는 본 개시의 일부 실시예에 따른 예시적인 3D 메모리 장치의 개략적인 단면도를 도시한다.
도 6은 본 개시의 일부 실시예에 따른, 3D 메모리 장치를 형성하기 위한 예시적인 방법의 흐름도이다.
본 개시의 실시예는 첨부 도면을 참조하여 설명될 것이다.
특정 구성 및 배열이 설명되어 있지만, 이것은 단지 설명을 위함임을 알아야 한다. 당업자라면, 본 개시의 사상 및 범주를 벗어나지 않고서 다른 구성 및 배열이 사용될 수 있음을 알 것이다. 본 개시는 다양한 다른 애플리케이션에도 적용될 수 있음은 당업자에게 자명할 것이다.
주목되는 것은, 본 명세서에서의 "일 실시예", "실시예", "예시적인 실시예" 등은 언급된 실시예가 특정의 특징, 구조 또는 특성을 포함할 수 있지만, 모든 실시예가 반드시 특정의 특징, 구조 또는 특성을 포함할 수 있는 것이 아닐 수도 있다는 것을 나타낸다는 것이다. 또한, 이러한 문구들은 반드시 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정의 특징, 구조 또는 특성이 일 실시예와 관련하여 설명될 때, 명시적으로 기술되든 아니든 간에 그러한 특징, 구조 또는 특성을 다른 실시예들과 관련하여 수행하는 것은 본 기술 분야의 기술자의 지식 범위 내에 속하는 것일 것이다.
일반적으로, 용어는 문맥상 사용에서 적어도 부분적으로 이해될 수 있다. 예를 들어, 문맥에 적어도 부분적으로 의존하여 본원에 사용된 용어 "하나 이상"은 임의의 특징, 구조 또는 특성을 단일 의미로 설명하기 위해 사용될 수도 있고 또는 특징, 구조 또는 특성의 조합을 복수의 의미로 설명하기 위해 사용될 수도 있다. 유사하게, 단수 형태의 용어는 또한 적어도 문맥에 따라 단수를 표현하거나 또는 복수를 표현하는데 사용될 수 있는 것으로 이해될 수 있다.
본 개시 내용에서 "상에", "위의" 및 "위에"의 의미는 가장 넓은 방식으로 해석되어야 하며, 그에 따라 "상에"는 무엇인가의 "바로 위에"를 의미할 뿐만 아니라 중간에 특징 또는 층을 갖는 무엇인가의 "상에"의 의미를 포함하고, "위의" 또는 "위에"는 무엇인가의 "위의" 또는 "위에"를 의미할 뿐만 아니라 중간에 특징 또는 층을 갖지 않는 무엇인가의 "위의" 또는 "위에" 있다는 것(즉, 무엇인가의 바로 위에 있다는 것)을 포함할 수도 있다는 것을 이해할 수 있다.
또한, "바로 아래", "아래", "하부", "위", "상부" 등과 같은 공간 관련 용어는 본원에서 하나의 요소 또는 특징과 다른 요소(들) 또는 특징(들) 간의 관계를 도면에 도시한 바와 같이 기술하기 위한 설명의 편의를 위해 사용될 수 있다. 이들 공간 관련 용어는 도면에 도시된 방향 외에도 사용 중인 또는 동작 중인 장치의 상이한 방향을 포함하도록 의도된다. 장치는 이와는 다르게 배향(90도 회전되거나 다른 배향)될 수 있고, 그에 따라 본원에서 사용되는 공간 관련 서술자가 마찬가지로 해석될 수 있다.
본원에 사용된 용어 "기판"은 후속 물질 층이 추가되는 자재를 지칭한다. 기판 그 자체는 패터닝될 수 있다. 기판의 상단에 추가된 물질은 패터닝되거나 패터닝되지 않은 채로 유지될 수 있다. 또한, 기판은 실리콘, 게르마늄, 갈륨 비화물, 인듐 인화물 등과 같은 광범위한 반도체 물질을 포함할 수 있다. 이와 달리, 기판은 유리, 플라스틱 또는 사파이어 웨이퍼와 같은 전기적 비도전성 물질로 제조될 수 있다.
본원에 사용된 용어 "층"은 두께를 갖는 영역을 포함하는 물질 부분을 지칭한다. 층은 하부 또는 상부 구조 전체에 걸쳐 연장될 수 있거나, 하부 또는 상부 구조의 범위보다 작은 범위를 가질 수 있다. 또한, 층은 연속 구조의 두께보다 작은 두께를 갖는 동종(homogeneous) 또는 이종(inhomogeneous) 연속 구조의 영역일 수 있다. 예를 들어, 층은 연속 구조의 상단 표면과 하단 표면에 또는 그 사이에서의 임의의 수평 평면 쌍(any pair of horizontal planes) 사이에 위치할 수 있다. 층은 수평으로, 수직으로, 및/또는 테이퍼형 표면을 따라 연장될 수 있다. 기판은 층일 수 있고, 그 내부에 하나 이상의 층을 포함할 수 있고, 및/또는 그 기판 상에, 그 기판 위에, 및/또는 그 기판 아래에 하나 이상의 층을 가질 수 있다. 층은 다수의 층을 포함할 수 있다. 예를 들어, 상호연결 층은(내부에 컨택, 상호연결 라인, 및/또는 비아가 형성되는) 하나 이상의 도전체 및 접촉 층과, 하나 이상의 유전체 층을 포함할 수 있다.
본원에 사용되는 용어 "공칭/명목상"은 제품 또는 프로세스의 설계 단계 동안 설정되는 컴포넌트 또는 프로세스 동작에 대한 특성 또는 파라미터의 원하는 또는 목표 값과 더불어, 원하는 값의 위 및/또는 아래의 값 범위를 지칭한다. 값의 범위는 제조 프로세스에서의 약간의 변동 또는 공차로 인한 것일 수 있다. 본원에 사용된 용어 "약"은 본 반도체 장치와 관련된 특정 기술 노드에 기초하여 변동될 수 있는 주어진 수량의 값을 나타낸다. 특정 기술 노드에 기초하여, 용어 "약"은, 예를 들어, 값의 10 % 내지 30 % 내에서 변동하는 주어진 수량의 값을 나타낸다(예를 들어, 값의 ± 10 %, ± 20 %, 또는 ± 30 %).
본원에 사용되는 용어 "3D 메모리 장치"는 측 방향으로 배향된 기판 상에 수직 배향된 메모리 셀 트랜지스터 스트링(본원에서 NAND 스트링과 같은 "메모리 스트링"으로 지칭되는 영역)을 갖는 반도체 장치를 지칭하되, 메모리 스트링은 기판에 대해 수직 방향으로 연장된다. 본원에 사용되는 바와 같이, "수직/수직으로"라는 용어는 기판의 측방향 표면에 대해 공칭상 수직인 것을 의미한다.
본 개시에 따른 다양한 실시예는 메모리 어레이(본 명세서에서는 "어레이 장치"로도 지칭됨)를 위한 쓰루 어레이 컨택(TAC) 구조를 갖는 3D 메모리 장치를 제공한다. TAC 구조는 메모리와 다양한 주변 회로 및/또는 주변 장치(예를 들어, 페이지 버퍼, 래치, 디코더 등) 간의 컨택이 제한된 수의 단계(예를 들어, 단일 단계 또는 두 개의 단계)를 통해 제조될 수 있게 해주어, 프로세스 복잡도 및 제조 비용을 절감할 수 있다. 개시된 TAC는 교번 도전체 및 유전체 층의 스택과 비교했을 때, 쓰루 홀을 형성하는 데 보다 용이하게 에칭될 수 있는 교번 유전체 층의 스택을 통해 형성된다.
TAC는 스택형 어레이 장치와 (예를 들어, 전력 버스 및 금속 라우팅을 위한) 주변 장치 사이에 수직 상호연결을 제공할 수 있어, 금속 레벨을 줄이고 다이 크기를 줄일 수 있다. 일부 실시예에서, TAC는, 상이한 기판 상에 형성된 어레이 장치 및 주변 장치가 순차적으로 형성되거나 또는 얼굴을 맞대는 방식으로 하이브리드 본딩에 의해 결합되는 3D 메모리 아키텍처에 적절한 상부 도전체 층 및/또는 하부 도전체 층 내의 다양한 라인에 상호연결될 수 있다. 일부 실시예에서, 본 명세서에 개시된 쓰루 어레이 컨택 구조에서의 TAC는 교번 도전체 및 유전체 층의 스택과 비교했을 때, 쓰루 홀을 형성하는데 보다 용이하게 에칭될 수 있는 교번 유전체 층의 스택을 통해 형성되어, 프로세스 복잡도 및 제조 비용을 절감할 수 있다.
도 1은 본 개시의 일부 실시예에 따른 예시적인 3D 메모리 장치(100)의 개략적인 평면도를 나타낸다. 3D-메모리 장치(100)는 복수의 채널 구조 영역(예를 들어, 이하의 다양한 도면과 연계하여 자세히 설명되는 메모리 평면, 메모리 블록, 메모리 핑거링 등)을 포함할 수 있으며, 두 개의 이웃하는 채널 구조 영역 사이에 하나 이상의 TAC 구조가 형성될 수 있다.
도 1에 도시되어 있는 바와 같이, 3D 메모리 장치(100)는 4개 이상의 메모리 평면(110)을 포함할 수 있으며, 각각의 메모리 평면은 복수의 메모리 블록(115)을 포함할 수 있다. 도 1에 도시되어 있는 3D 메모리 장치(110)에서의 메모리 평면(100)의 배열 및 각 메모리 평면(100)에서의 메모리 블록(115)의 배열은 단지 예로서 사용되며 본 개시의 범주를 제한하지는 않는다.
TAC 구조는, 3D 메모리 장치의 비트 라인 방향(도면에서 "BL"로 표기됨)에서 두 개의 이웃하는 메모리 블록(115)에 의해 샌드위치되고 3D 메모리 장치의 워드 라인 방향(도면에서 "WL"로 표기됨)을 따라 연장되는 하나 이상의 비트 라인(BL) TAC 영역(160)과, 워드 라인 방향(WL)에서 두 개의 이웃하는 메모리 블록(115)에 의해 샌드위치되고 비트 라인 방향(BL)을 따라 연장되는 하나 이상의 워드 라인(WL) TAC 영역(170)과, 각 메모리 평면(110)의 에지에 위치한 하나 이상의 계단 구조(SS) TAC 영역(180)을 포함할 수 있다.
일부 실시예에서, 3D 메모리 장치(100)는 3D 메모리 장치(100)의 에지에서 한 줄로 배열된 복수의 접촉 패드(120)를 포함할 수 있다. 상호연결 컨택은 구동 전력을 제공하고, 제어 신호를 수신하고, 응답 신호를 송신하는 등을 하는 임의의 적절한 장치 및/또는 인터페이스에 3D 메모리 장치(100)를 전기적으로 상호연결하는데 사용될 수 있다.
도 2는 3D 메모리 장치의 예시적인 비트 라인(BL) TAC 영역(160)을 포함하는 도 1에 도시된 영역(130)을 확대한 평면도를 도시한다. 도 3a 내지 도 3d는 3D 메모리 장치의 예시적인 워드 라인(WL) TAC 영역(170)을 포함하는 도 1에 도시된 영역(140)을 확대한 평면도를 도시한다. 도 4a 및 도 4b는 3D 메모리 장치의 다양한 예시적인 계단 구조(SS) TAC 영역(180)을 포함하는 도 1에 도시된 영역(150)을 확대한 평면도를 도시한다.
도 2를 참조하면, 본 개시의 일부 실시예에 따라 3D 메모리 장치의 예시적인 비트 라인(BL) TAC 영역을 포함하는 도 1에 도시된 영역(130)을 확대한 평면도가 도시되어 있다. 3D 메모리 장치의 영역(200)(즉, 도 1에 도시된 영역(130))은 두 개의 채널 구조 영역(210)(예를 들어, BL 방향으로 이웃하는 메모리 블록(115)) 및 비트 라인(BL) TAC 영역(233)(예를 들어, 도 1에 도시된 BL TAC 영역(160))을 포함할 수 있다.
채널 구조 영역(210)은 채널 구조(212)의 어레이를 포함할 수 있는데, 각각은 복수의 스택형 메모리 셀을 포함하는 NAND 스트링의 일부이다. 채널 구조(212)는, 3D 메모리 장치의 기판의 표면에 직교하는 방향으로도 지칭되는, 평면도에 직교하는 방향을 따라 및/또는 (이하에서 자세히 설명되는 도 5와 연계하여 단면도로 도시되어 있는) "수직 방향"을 따라 정렬된 복수의 도전체 층 및 유전체 층 쌍을 통과하며 연장된다.
복수의 도전체/유전체 층 쌍은 또한 본 명세서에서 "교번 도전체/유전체 스택"으로도 지칭된다. 교번 도전체/유전체 스택에서 도전체/유전체 층 쌍의 개수(예를 들어, 32, 64, 96개)는 3D 메모리 장치(100)에서의 메모리 셀의 개수를 설정할 수 있다. 교번 도전체/유전체 스택에서의 도전체 층 및 유전체 층은 수직 방향으로 교번한다. 다시 말해, 교번 도전체/유전체 스택의 상부 또는 하부에서의 것을 제외하면, 각각의 도전체 층은 양 측면 상에서 두 개의 유전체 층에 인접할 수 있고, 각각의 유전체 층은 양 측면에서 두 개의 도전체 층에 인접할 수 있다.
도전체 층은 텅스텐(W), 코발트(Co), 구리(Cu), 알루미늄(Al), 다결정 실리콘(폴리실리콘), 도핑된 실리콘, 실리사이드 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 도전성 물질을 포함할 수 있다. 유전체 층은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 유전성 물질을 포함할 수 있다. 일부 실시예에서, 도전체 층은 W와 같은 금속 층을 포함하고, 유전체 층은 실리콘 산화물을 포함한다.
일부 실시예에서, BL TAC 영역(233)은 BL 방향에서 두 개의 이웃하는 채널 구조 영역(210)에 의해 샌드위치될 수 있고, WL 방향으로 연장될 수 있다. TAC 영역(233)은 3D 메모리 장치의 BL TAC 영역(233)의 에지와 연계하여 장벽 구조(224)에 의해 정의될 수 있다. 다수의 TAC(226)는 장벽 구조(224) 및 BL TAC 영역(233)의 에지에 의해 측 방향으로 둘러싸인 BL TAC 영역(233)에 형성될 수 있다. 일부 실시예에서, BL TAC 영역(233)의 다수의 TAC(226)는 스위치 라우팅을 위해 그리고 비트 라인 커패시턴스를 감소시키기 위해 교번 유전체 스택을 관통할 수 있다.
교번 유전체 스택은(이하에서 자세히 설명되는 도 5와 연계하여 단면도로 도시된) 3D 메모리 장치의 기판의 표면에 직교하는 수직 방향을 따라 배열된 복수의 유전체 층 쌍을 포함할 수 있다. 각각의 유전체 층 쌍은 제1 유전체 층 및 제1 유전체 층과 다른 제2 유전체 층을 포함한다. 일부 실시예에서, 제1 유전체 층 및 제2 유전체 층은 각각 실리콘 질화물 및 실리콘 산화물을 포함한다. 교번 유전체 스택의 제1 유전체 층은 전술한 교번 도전체/유전체 스택의 유전체 층과 동일할 수 있다. 일부 실시예에서, 교번 유전체 스택의 유전체 층 쌍의 개수는 교번 도전체/유전체 스택의 도전체/유전체 층 쌍의 수와 동일하다.
도 2에 도시된 바와 같이, 각각의 채널 구조 영역(210)은 각각이 WL 방향으로 연장되는 하나 이상의 슬릿 구조(214)를 포함할 수 있다. 적어도 일부 슬릿 구조(214)는 채널 구조 영역(210)에서 채널 구조의 어레이(212)에 대한 공통 소스 컨택으로서 기능할 수 있다. 슬릿 구조(214)는 또한 3D 메모리 장치를 다수의 메모리 핑거(242) 및/또는 더미 메모리 핑거(246)로 분할할 수 있다. 상부 선택 게이트 컷(255)은 메모리 핑거의 상부 선택 게이트(TSG)를 두 부분으로 나누기 위해 각각의 메모리 핑거(242)의 중간에 배치될 수 있다. 상부 선택 게이트 컷(255)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 유전성 물질을 포함할 수 있다.
일부 실시예에서, 더미 채널 구조(222)는 채널 구조 영역(210)의 일부, 예를 들어 BL 방향으로 BL TAC 영역(233)에 인접한 더미 메모리 핑거(246)에 형성된다. 더미 채널 구조(222)는 메모리 어레이 구조에 기계적 지지를 제공할 수 있다. 더미 메모리 핑거(246)는 메모리 기능을 갖지 않으므로, 더미 메모리 핑거(246)에는 비트 라인 및 관련 상호연결 라인이 형성되지 않는다.
도 3a를 참조하면, 본 개의 일부 실시예에 따라 3D 메모리 장치의 예시적인 워드 라인(WL) TAC 영역을 포함하는 도 1에 도시된 영역(140)의 확대 평면도가 도시되어 있다. 3D 메모리 장치의 영역(300A)(즉, 도 1에 도시된 영역(140))은 채널 구조 영역(320), 워드 라인(WL) TAC 영역(372)(예를 들어, 도 1에 도시된 WL TAC 영역(170)) 및 상부 선택적 게이트(TSG) 계단 영역(330)을 포함할 수 있다.
도 3a에 도시된 바와 같이, 채널 구조 영역(320)은 각각 복수의 스택형 메모리 셀을 포함하는 채널 구조(312)의 어레이를 포함할 수 있다. TSG 계단 영역(330)은 평면도에서 채널 구조 영역(320)의 측면 상에 그리고 WL TAC 영역(372)에 인접하여 배치될 수 있다. 즉, WL TAC 영역(372)은 WL 방향에서 두 개의 TSG 계단 영역(330)에 의해 샌드위치된다. WL TAC 영역(372)은 장벽 구조(324)에 의해 정의될 수 있다. 스위치 라우팅을 위해 그리고 워드 라인 커패시턴스를 감소시키기 위해 사용되는 다수의 TAC(326)는 장벽 구조(324)에 의해 측 방향으로 둘러싸인 WL TAC 영역(372)에 형성될 수 있다.
일부 실시예에서, 더미 채널 구조(322)는 메모리 어레이 구조에 기계적 지지를 제공하기 위해 WL TAC 영역(372) 외부에 형성된다. 더미 채널 구조(322)는 WL TAC 영역(372) 외부의 임의의 영역, 예를 들어 TSG 계단 영역(330)에서 그리고 TSG 계단 영역(330)에 인접한 채널 구조 영역(320)의 에지를 따라 형성될 수 있다는 것이 이해된다. 채널 구조(312) 및 더미 채널 구조(322)는 교번 도전체/유전체 스택을 관통하는 반면, TAC(326)는 교번 유전체 스택을 관통한다.
일부 실시예에서, 각각이 WL 방향으로 연장되는 복수의 슬릿 구조(314)가 3D 메모리 장치를 다수의 메모리 핑거(342,344)로 분할할 수 있다. 적어도 일부 슬릿 구조(314)는 채널 구조 영역(320)에서 채널 구조(312)의 어레이에 대한 공통 소스 컨택으로서 기능할 수 있다. 슬릿 구조(314)의 측벽은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 유전성 물질을 포함할 수 있다. 슬릿 구조(314)의 충진 물질은 텅스텐(W), 코발트(Co), 구리(Cu), 알루미늄(Al), 다결정 실리콘(폴리실리콘), 도핑된 실리콘, 실리사이드, 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 도전성 물질을 포함할 수 있다.
메모리 핑거의 상부 선택 게이트(TSG)를 두 부분으로 나누기 위해 상부 선택 게이트 컷(355)이 각각의 메모리 핑거(342,344)의 중간에 배치될 수 있다. 상부 선택 게이트 컷(355)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 유전성 물질을 포함할 수 있다.
BL 방향에서 WL TAC 영역(372)의 폭은 각각의 메모리 핑거(342 또는 344)의 폭보다 클 수 있다는 것을 알아야 한다. 즉, BL 방향에서 장벽 구조(324)는 적어도 두 개의 이웃하는 슬릿 구조(314)를 가로지를 수 있다. 그에 따라, 메모리 핑거(344) 내에 있는 채널 구조 영역(320)에서의 도전체 층은 채널 장벽 구조(320)에 의해 완전히 차단된다. 따라서, WL TAC 영역(372)의 양측에 있는 메모리 핑거(344)의 두 개의 채널 구조 영역(320) 사이의 채널 구조(312)의 상부 선택적 게이트는 교번 도전체/유전체 스택에서 상부 도전체 층에 의해 상호연결되지 않는다.
WL TAC 영역(372)의 양측의 메모리 핑거(344)에서 두 개의 채널 구조 영역(320) 사이의 채널 구조(312)의 상부 선택적 게이트를 상호연결하기 위해, TSG 계단 영역(330)은, WL TAC 영역(372)에 의해 분리된 메모리 핑거(344) 내의 두 개의 채널 구조 영역(320) 사이에서 채널 구조(312)의 상부 선택적 게이트와의 전기적 상호연결이 이루지도록 하기 위해 (예를 들어, 상부의 2 내지 4 레벨 내의) 계단 구조 상에 형성된 하나 이상의 도전성 라인(도 3a에는 도시되어 있지 않음)을 포함할 수 있다.
예를 들어, WL TAC 영역(372)에 의해 절단된 슬릿 구조(314)는 TSG 계단 영역(330)으로 연장될 수 있다. 교번 도전체/유전체 스택의 상부 두 개의 도전체 층은 한쪽의 계단 구조를 가질 수 있다. WL TAC 영역(372)에 의해 분리된 메모리 핑거(344) 및 채널 구조 영역(320)에서 채널 구조(312)의 상부 선택적 게이트들 사이에 전기적 상호연결을 제공하기 위해 컨택을 갖는 하나 이상의 상호연결 층이 한쪽의 계단 구조 상에 형성될 수 있다.
따라서, WL TAC 영역(372)의 양 측면에 상부 선택적 게이트를 상호연결하는 TSG 계단 영역(330)을 도입함으로써, WL TAC 영역(372)은 원하는 수의 TACS(326)를 둘러싸기에 충분한 크기를 제공하도록 BL 방향을 따라 연장될 수 있다. 도 1에 도시된 바와 같은 각각의 메모리 평면(110)은 WL 방향으로 배열된 다수의 WL TAC 영역(372)을 포함할 수 있다. 즉, 다수의 메모리 블록(115)은 각각의 메모리 평면(110)에서 WL 방향으로 배열될 수 있다.
도 3b를 참조하면, 본 개시의 일부 다른 실시예에 따라 3D 메모리 장치의 또 다른 예시적인 워드 라인(WL) TAC 영역을 포함하는 도 1에 도시된 영역(140)의 확대 평면도가 도시되어 있다. 3D 메모리 장치의 영역(300B)(즉, 도 1에 도시된 영역(140))은 워드 라인(WL) TAC 영역(372)(예를 들어, 도 1에 도시된 WL TAC 영역(170))을 둘러싸는 더미 채널 영역(350)인 채널 구조 영역(320)을 포함할 수 있다.
도 3b에 도시된 바와 같이, 채널 구조 영역(320)은 각각이 복수의 스택형 메모리 셀을 포함하는 채널 구조(312)의 어레이를 포함할 수 있다. 더미 채널 영역(350)은 WL 방향에서 두 개의 채널 구조 영역(320)에 의해 샌드위치된다. WL TAC 영역(372)은 더미 채널 영역(350)에 의해 둘러싸인다. WL TAC 영역(372)은 장벽 구조(324)에 의해 정의될 수 있다. 장벽 구조(324)에 의해 측 방향으로 둘러싸인 WL TAC 영역(372)에는 다수의 TAC(326)가 형성될 수 있다.
일부 실시예에서, 더미 채널 구조(322)가 메모리 어레이 구조에 기계적 지지를 제공하기 위해 WL TAC 영역(372) 외부에 형성된다. 더미 채널 구조(322)는 WL TAC 영역(372) 외부의 임의의 영역, 예를 들어 더미 채널 영역(350)에서, 그리고 더미 채널 영역(350)에 인접한 채널 구조 영역(320)의 에지를 따라 형성될 수 있음을 이해해야 한다. 채널 구조(312) 및 더미 채널 구조(322)는 교번 도전체/유전체 스택을 관통하는 반면, TAC(326)는 교번 유전체 스택을 관통한다.
일부 실시예에서, 각각이 WL 방향으로 연장되는 복수의 슬릿 구조(314)가 3D 메모리 장치를 다수의 메모리 핑거(342,344)로 분할할 수 있다. 상부 선택 게이트 컷(355)은 메모리 핑거의 상단 선택 게이트(TSG)를 두 부분으로 나누기 위해 각각의 메모리 핑거(342,344)의 중간에 배치될 수 있다.
BL 방향에서 WL TAC 영역(372)의 폭은 각각의 메모리 핑거(342 또는 344)의 폭보다 클 수 있다는 것을 알아야 한다. 즉, BL 방향에서 장벽 구조(324)는 적어도 두 개의 이웃하는 슬릿 구조(314)를 가로지를 수 있다. 그에 따라, 메모리 핑거(344) 내에 있는 채널 구조 영역(320)에서의 도전체 층은 채널 장벽 구조(324)에 의해 완전히 차단된다. 따라서, WL TAC 영역(372)의 양측에 있는 메모리 핑거(344)의 두 개의 채널 구조 영역(320) 사이의 채널 구조(312)의 상부 선택적 게이트는 교번 도전체/유전체 스택에서 상부 도전체 층에 의해 상호연결되지 않는다.
그 때문에, WL TAC 영역(372)의 이러한 설계와 관련된 일부 실시예에서, 하나의 메모리 평면(110)은 WL 방향에서 단지 두 개의 메모리 블록(115)만을 포함할 수 있다. WL TAC 영역(372)은 두 개의 메모리 블록(즉, 도 3b에 도시된 채널 구조 영역(320))에 의해 샌드위치되는 반면, WL 방향에서 채널 구조 영역(320)의 외측은 계단 구조(도 3b에 도시되지 않음)를 가질 수 있다. 따라서, WL TAC 영역(372)의 양 측면에 있는 메모리 핑거(344) 내의 두 개의 채널 구조 영역(320) 사이의 채널 구조(312)의 상부 선택적 게이트는 3D NAND 장치의 메모리 평면(110)의 에지상에서 계단 구조를 사용함으로써 상호연결될 수 있다. 이러한 설계는 지그재그 워드 라인 디코더(X-DEC) 라우팅에 적합할 수있다.
도 3c을 참조하면, 본 개시의 일부 대안의 실시예들에 따라 3D 메모리 장치의 다른 예시적인 워드 라인(WL) TAC 영역을 포함하는 도 1에 도시된 영역(140)의 확대 평면도가 도시되어 있다. 3D 메모리 장치의 영역(300C)(즉, 도 1에 도시된 영역(140))은 복수의 워드 라인(WL) TAC 영역(376)을 둘러싸는 더미 채널 영역(350)인 채널 구조 영역(320)을 포함할 수 있다.
도 3c에 도시된 바와 같이, 일부 실시예에서, 각각이 WL 방향으로 연장되는 복수의 슬릿 구조(314)는 3D 메모리 장치를 다수의 메모리 핑거(342)로 분할할 수 있다. 상부 선택 게이트 컷(355)이 메모리 핑거의 상부 선택 게이트(TSG)를 두 부분으로 나누기 위해 각각의 메모리 핑거(342)의 중간에 배치될 수 있다.
채널 구조 영역(320)은 각각이 복수의 스택형 메모리 셀을 포함하는 채널 구조(312)의 어레이를 포함할 수 있다. 더미 채널 영역(350)은 WL 방향에서 두 개의 채널 구조 영역(320)에 의해 샌드위치된다. BL 방향을 따라 열로 배열된 복수의 WL TAC 영역(376)이 더미 채널 영역(350)에 의해 둘러싸인다. 각각의 WL TAC 영역(376)은 장벽 구조(324)에 의해 정의될 수 있다. 장벽 구조(324)에 의해 측 방향으로 둘러싸인 각각의 WL TAC 영역(372)에는 다수의 TAC(326)가 형성될 수 있다.
일부 실시예에서, BL 방향에서 각각의 WL TAC 영역(376)의 폭은 각각의 메모리 핑거(342)의 폭보다 작을 수 있다. 즉, 각각의 WL TAC 영역(376)의 장벽 구조(324)는 두 개의 인접한 슬릿 구조(314) 사이에 위치할 수 있다. 각각의 WL TAC 영역(376)의 장벽 구조(324)는 더미 채널 영역(350)에서 도전체 층을 완전히 차단하지 못하기 때문에, WL TAC 영역(376)의 양 측면상의 각각의 메모리 핑거(342)에서 두 개의 채널 구조 영역(320) 사이의 채널 구조(312)의 상부 선택적 게이트는 더미 채널 영역(350)에 있는 교번 도전체/유전체 스택에서 상부 도전 층에 의해 상호연결될 수 있다.
일부 실시예에서, 메모리 어레이 구조에 대한 기계적 지지를 제공하기 위해 더미 채널 구조(322)가 WL TAC 영역(376) 외부에 형성된다. 더미 채널 구조(322)는 WL TAC 영역(376) 외부의 임의의 영역, 예를 들어 더미 채널 영역(350)에, 그리고 더미 채널 영역(350)에 인접한 채널 구조 영역(320)의 에지를 따라 형성될 수 있다는 것이 이해된다. 채널 구조(312) 및 더미 채널 구조(322)는 교번 도전체/유전체 스택을 관통하는 반면, TAC(326)는 교번 유전체 스택을 관통한다.
따라서, 각각의 메모리 핑거(342) 내에 하나의 WL TAC 영역(376)을 배치함으로써, 교번 도전체/ 유전체 스택의 상부 도전 층은 WL TAC 영역(376)에 의해 차단되지 않을 수 있다. 따라서, WL TAC 영역(376)의 양 측면에 있는 각각의 메모리 핑거(342) 내의 두 개의 채널 구조 영역(320) 사이의 채널 구조(312)의 상부 선택 게이트를 더 상호연결하기 위한 추가 구조가 요구되지 않는다. 따라서, WL 방향을 따라 다수의 WL TAC 영역(376)이 각각의 메모리 핑거(342)에 배열될 수 있다. 즉, 메모리(110)는 WL 방향으로 다수의 메모리 블록(115)을 포함할 수 있다.
도 3d를 참조하면, 본 개시의 일부 대안의 실시예들에 따라 3D 메모리 장치의 다른 예시적인 워드 라인(WL) TAC 영역을 포함하는 도 1에 도시된 영역(140)의 확대 평면도가 도시되어 있다. 3D 메모리 장치의 영역(300D)(즉, 도 1에 도시된 영역(140))은 복수의 워드 라인(WL) TAC 영역(376)을 둘러싸는 더미 채널 영역(350)인 채널 구조 영역(320)을 포함할 수 있다.
도 3d에 도시된 바와 같이, 일부 실시예에서, 각각이 WL 방향으로 연장되는 복수의 슬릿 구조(314,316)는 3D 메모리 장치를 다수의 메모리 핑거(342)로 분할할 수 있다. 일부 실시예에서, 슬릿 구조(314)는 둘 이상의 채널 구조 영역(320) 및 하나 상의 더미 채널 영역(250)을 통과하며 내내 WL 방향으로 연장될 수 있다. 적어도 하나의 슬릿 구조(316)는 도 3d에 도시된 바와 같이 더미 채널 영역(350)에 갭(318)을 포함할 수 있다. 메모리 핑거의 상부 선택 게이트(TSG)를 두 부분으로 나누기 위해 각각의 메모리 핑거(342)의 중간에 상부 선택 게이트 컷(355)이 배치될 수 있다.
채널 구조 영역(320)은 각각이 복수의 스택형 메모리 셀을 포함하는 채널 구조(312)의 어레이를 포함할 수 있다. 더미 채널 영역(350)은 WL 방향에서 두 개의 채널 구조 영역(320)에 의해 샌드위치된다. BL 방향을 따라 열로 배열된 복수의 WL TAC 영역(376)은 더미 채널 영역(350)에 의해 둘러싸인다. 각각의 WL TAC 영역(376)은 장벽 구조(324)에 의해 정의될 수 있다. 장벽 구조(324)에 의해 측 방향으로 둘러싸인 각각의 WL TAC 영역(376)에 다수의 TAC(326)가 형성될 수 있다.
일부 실시예에서, BL 방향에서 각각의 WL TAC 영역(376)의 폭은 각각의 메모리 핑거(342)의 폭보다 작을 수 있다. 즉, 각각의 WL TAC 영역(376)의 장벽 구조(324)는 두 개의 이웃하는 슬릿 구조(314) 사이에 위치될 수 있다. 각각의 WL TAC 영역(376)의 장벽 구조(324)는 더미 채널 영역(350)에서 도전체 층을 완전히 차단하지 못하기 때문에, WL TAC 영역(376)의 양 측면 상의 각각의 메모리 핑거(342)에서 두 개의 채널 구조 영역(320) 사이의 채널 구조(312)의 상부 선택적 게이트는 더미 채널 영역(350) 내에서의 교번 도전체/유전체 스택의 상부 도전체 층에 의해 상호연결될 수 있다.
일부 실시예에서, 더미 채널 구조(322)가 WL TAC 영역(376) 외부에 형성되어 메모리 어레이 구조에 대한 기계적 지지를 제공한다. 더미 채널 구조(322)는 WL TAC 영역(376) 외부의 임의의 영역, 예를 들어 더미 채널 영역(350)에, 그리고 더미 채널 영역(350)에 인접한 채널 구조 영역(320)의 에지를 따라 형성될 수 있음을 이해해야 한다. 채널 구조(312) 및 더미 채널 구조(322)는 교번 도전체/유전체 스택을 관통하는 반면, TAC(326)는 교번 유전체 스택을 관통한다.
일부 실시예에서, 하나 이상의 슬릿 구조(316)가 더미 채널 영역(350)에 갭(318)을 포함할 수 있다. 이웃하는 메모리 핑거(342)에서의 워드 라인은 갭(318)을 통과하는 도전성 라인을 사용함으로써 상호연결될 수 있다. 예를 들어, 도 3d에 도시된 바와 같이, 메모리 블록(115)의 에지에 있는 슬릿 구조(314)는 두 개 이상의 채널 구조 영역(320) 및 하나 이상의 더미 채널 영역(350)을 통과하는 내내 WL 방향으로 연장될 수 있지만, 각 메모리 블록(115)의 내부에 있는 슬릿 구조(316)는 각각의 대응하는 더미 채널 영역(350)에 하나 이상의 갭(318)을 포함할 수 있다. 이와 같이, 동일한 메모리 블록(115) 내의 모든 상부 선택 게이트 및/또는 워드 라인은 추가 구조물 없이 상호연결될 수 있다.
따라서, 메모리 핑거(342) 내에 WL TAC 영역(376)을 배치하고 슬릿 구조(316)에 갭(318)을 제공함으로써, 교번 도전체/유전체 스택에서의 상부 도전체 층은 WL TAC 영역(376)에 의해 차단되지 않을 수 있고, 이웃하는 메모리 핑거(342)에서의 워드 라인은 상호연결될 수 있다. 따라서, 다수의 WL TAC 영역(376)은 WL 방향을 따라 각각의 메모리 핑거(342)에 배열될 수 있다. 즉, 메모리(110)는 WL 방향으로 다수의 메모리 블록(115)을 포함할 수 있다. 이러한 구조는 높은 통합 레벨과 쉽게 제작할 수 있는 간단한 레이아웃을 가질 수 있다.
도 4a를 참조하면, 본 개시의 일부 실시예들에 따라 3D 메모리 장치의 예시적인 계단 구조(SS) TAC 영역을 포함하는 도 1에 도시된 영역(150)의 확대 평면도가 도시되어 있다. 3D 메모리 장치의 영역(400A)(즉, 도 1에 도시된 영역(150))은 채널 구조 영역(420), 계단 영역(410) 및 계단 구조(SS) TAC 영역(482)을 포함할 수 있다.
채널 구조 영역(420)은 각각이 복수의 스택형 메모리 셀을 포함하는 채널 구조(412)의 어레이를 포함할 수 있다. 계단 영역(410)은 계단 구조 및 이 계단 구조 상에 형성된 워드 라인 컨택(432)의 어레이를 포함할 수 있다. 일부 실시예에서, SS TAC 영역(482)은 계단 영역(410)에 있다. SS TAC 영역(482)은 장벽 구조(424) 단독에 의해 또는 3D 메모리 장치의 계단 영역(410)의 에지와 연계하여 정의될 수 있다. 적어도 장벽 구조(424)에 의해 측 방향으로 둘러싸인 SS TAC 영역(482)에 다수의 TAC(426)가 형성될 수 있다.
도 4a에 도시되어 있는 바와 같이, 일부 실시예에서, 각각이 WL 방향으로 연장되는 복수의 슬릿 구조(414,416)는 3D 메모리 장치를 다수의 메모리 핑거(442,444)로 분할할 수 있다. 일부 실시예에서, 슬릿 구조(414)는 계단 영역(410)의 적어도 일부 내로 WL 방향을 따라 연장될 수 있다. 적어도 일부 슬릿 구조(416)는 계단 영역(410)에 하나 이상의 갭(418)을 포함할 수 있다. 상부 선택 게이트 컷(455)이 메모리 핑거의 상부 선택 게이트(TSG)를 두 개의 부분으로 분할하기 위해 각각의 메모리 핑거(442, 444)의 중간에 배치될 수 있다.
일부 실시예에서, 하나 이상의 슬릿 구조(416)는 계단 영역(410)에 갭(418)을 포함할 수 있다. 이웃하는 메모리 핑거(442) 내의 워드 라인 컨택(432)은 갭(418)을 통과하는 도전성 라인을 사용함으로써 상호연결될 수 있다. 도 4a에 도시된 바와 같이, 메모리 블록(115)의 에지에 있는 슬릿 구조(414)는 채널 구조 영역(420) 및 계단 영역(410)을 통과하는 내내 WL 방향을 따라 연장될 수 있는 반면, 각각의 메모리 블록(115) 내부의 슬릿 구조(416)는 계단 영역(410) 내에 하나 이상의 갭(418)을 포함할 수 있다. 이와 같이, 동일한 메모리 블록(115) 내의 모든 워드 라인 컨택(432)는 추가 구조물 없이 상호연결될 수 있다.
BL 방향에서의 SS TAC 영역(482)의 폭은 각각의 메모리 핑거(442,444)의 폭보다 클 수 있음에 유의해야 한다. 즉, BL 방향에서의 장벽 구조(424)는 적어도 두 개의 이웃하는 슬릿 구조(414)를 가로지를 수 있다. TAC 영역(482)은 장벽 구조(424)에 의해 완전히 차단된 메모리 핑거(444)에 대응하는 계단 영역(410)의 일부 영역을 차지하기 때문에, SS TAC 영역(482)에서의 계단 구조는 메모리 핑거(444)를 위한 워드 라인 컨택(432)를 형성하는 대신 TAC(426)를 형성하는 데 사용된다. 따라서, 메모리 평면(110)의 다른 측면 상에서 메모리 핑거(444)에 대응하는 계단 구조(도 4b에 도시되지 않음)는 SS TAC 영역(482)보다는 워드 라인 컨택(432)을 형성하는데 사용될 수 있다.
따라서, 이러한 SS TAC 영역(482)의 설계와 관련된 일부 실시예에서, 메모리 평면(110)의 양측의 SS TAC 영역(482)은 WL 방향으로는 겹치지 않는다. 즉, 하나의 메모리 핑거는 최대 하나의 SS TAC 영역(482)에 대응한다. 이러한 설계는 지그재그 워드 라인 디코더(X-DEC) 라우팅에 적합할 수 있다. 또한, 도 3b와 연계하여 앞서 설명한 WL TAC 영역(372) 및 SS TAC 영역(482)의 설계와 관련된 실시예에서, 동일한 이유로, SS TAC 영역(482) 및 WL TAC 영역(372)은 WL 방향으로는 겹치지 않는다. 즉, 하나의 메모리 핑거는 최대 하나의 SS TAC 영역(482) 또는 최대 하나의 WL TAC 영역(372)에 대응한다.
도 4b를 참조하면, 본 개시의 일부 대안적인 실시예에 따라 3D 메모리 장치의 다른 예시적인 계단 구조(SS) TAC 영역을 포하는 도 1에 도시된 영역(150)의 확대 평면도이다. 3D 메모리 장치의 영역(400B)(즉, 도 1에 도시된 영역(150))은 채널 구조 영역(420), 계단 영역(410) 및 복수의 계단 구조(SS) TAC 영역(484)을 포함할 수 있다.
채널 구조 영역(420)은 각각이 복수의 스택형 메모리 셀을 포함하는 채널 구조(412)의 어레이를 포함할 수 있다. 계단 영역(410)은 계단 구조 및 계단 구조 상에 형성된 워드 라인 컨택(432)의 어레이를 포함할 수 있다. 일부 실시예에서, SS TAC 영역(484)은 계단 영역(410)에 있다. 각각의 SS TAC 영역(484)은 장벽 구조(424) 단독에 의해 또는 3D 메모리 장치의 계단 영역(410)의 에지와 연계하여 정의될 수 있다. 적어도 장벽 구조(424)에 의해 측 방향으로 둘러싸인 SS TAC 영역(482)에 다수의 TAC(426)가 형성될 수 있다.
도 4b에 도시된 바와 같이, 일부 실시예에서, 각각이 채널 구조 영역(420)에서 WL 방향으로 연장되는 복수의 슬릿 구조(414)는 3D 메모리 장치를 다수의 메모리 핑거(442)로 분할할 수 있다. 상부 선택 게이트 컷(455)이 각각의 메모리 핑거(422)의 중간에 배치되어 메모리 핑거의 상부 선택 게이트(TSG)를 두 부분으로 분할할 수 있다. 일부 실시예에서, 슬릿 구조(414)는 WL 방향을 따라 계단 영역(410)의 적어도 일부로 연장될 수 있다. 일부 실시예에서, 계단 영역(410)은 WL 방향에서 슬릿 구조(414)와 정렬되지 않은 다수의 슬릿 구조(416)를 더 포함할 수 있다. 즉, 계단 영역(410)에서 이웃하는 슬릿 구조 사이의 거리는 불균일할 수 있다. 일부 이웃하는 슬릿 구조 쌍은 다른 이웃하는 슬릿 구조 쌍 사이의 제2 거리보다 큰 제1 거리를 가질 수 있다.
일부 실시예에서, 각각의 SS TAC 영역(484)은 제1 거리를 갖는 이웃하는 슬릿 구조 쌍 사이에 위치할 수 있다. 즉, BL 방향에서 SS TAC 영역(484)의 폭은 제1 거리보다 작을 수 있다. 이와 같이, SS TAC 영역(484)에 의해 점유된 공간 이외에, 제1 거리를 갖는 이러한 이웃하는 슬릿 구조 쌍 사이의 계단 영역(410)은 워드 라인 컨택(432)을 형성하기 위한 여분의 공간을 가질 수 있다.
도 5를 참조하면, 예시적인 3D 메모리 장치(500)의 개략적인 단면도가 본 개시의 일부 실시예에 따라 도시되어 있다. 3D 메모리 장치(500)는, 컴포넌트들(예를 들어, 주변 장치 및 어레이 장치)이 상이한 기판 상에 별개로 형성될 수 있는 비모놀리식 3D 메모리 장치의 일부일 수 있다. 예를 들어, 3D 메모리 장치(500)는 도 1과 관련하여 앞서 설명한 영역(130), 영역(140) 또는 영역(150)일 수 있다.
도 5에 도시된 바와 같이, 3D 메모리 장치(500)는 기판(570) 및 기판(570) 위의 어레이 장치를 포함할 수 있다. 도 5에서는 3D 메모리 장치(500)의 컴포넌트들의 공간적 관계를 더 예시하기 위해 X 및 Y축이 추가되어 있음을 알아야 한다. 기판(570)은 X 방향(측 방향, 예를 들어, WL 방향 또는 BL 방향)으로 측 방향으로 연장되는 두 개의 측면(예를 들어, 상부 표면(572) 및 하부 표면)을 포함한다.
본 명세서에서 사용되는 바와 같이, 하나의 컴포넌트(예를 들어, 층 또는 장치)가 반도체 장치(예를 들어, 3D 메모리 장치(500))의 다른 컴포넌트(예를 들어, 층 또는 장치) "상에", "위에" 또는 "아래에" 있는지 여부는, 기판이 Y 방향에서 반도체 장치의 최하부 평면에 위치되어 있는 경우 Y 방향(수직 방향)에서 반도체 장치의 기판(예를 들어, 기판(570))에 대해 결정된다. 도 5에 도시된 3D 메모리 장치(500)의 단면도는 BL 방향 및 Y 방향으로 평면을 따른다. 공간적 관계를 설명하기 위한 동일한 개념이 본 개시에 걸쳐 적용된다.
기판(570)은 어레이 장치를 지지하기 위해 사용될 수 있고, 회로 기판(530) 및 에피택셜 기판(540)을 포함할 수 있다. 회로 기판(530)은 베이스 기판(510) 및 베이스 기판(510) 위에 형성된 하나 이상의 주변 회로(도 5에는 미도시)를 포함할 수 있다. 베이스 기판(510)은 실리콘(예를 들어, 단결정 실리콘, 다결정 실리콘), 실리콘 게르마늄(SiGe), 갈륨 비소(GaAs), 게르마늄(Ge), 실리콘 온 절연체(SOI), 게르마늄 온 절연체(GOI), 또는 이들의 임의의 적절한 조합을 포함할 수 있는 임의의 적합한 반도체 물질을 포함할 수 있다. 일부 실시예에서, 베이스 기판(510)은 연삭, 습식/건식 에칭, 화학 기계적 연마(CMP) 또는 이들의 임의의 조합에 의해 얇아진 박형 기판(예를 들어, 반도체 층)이다.
회로 기판(530)에 형성된 하나 이상의 주변 회로는 페이지 버퍼, 디코더 및 래치(도 5에는 미도시)와 같이, 3D 메모리 장치(500)의 동작을 용이하게 하기 위해 사용되는 임의의 적절한 디지털, 아날로그, 및 혼합-신호 주변 회로를 포함할 수 있다. 일부 실시예에서, 회로 기판(530)은 하나 이상의 주변 회로를 기판(570) 위의 어레이 장치에 전기적으로 연결하기 위해 하나 이상의 상호연결 구조(532)를 더 포함할 수 있다. 하나 이상의 상호연결 구조(532)는 컨택, 단일층/다층 비아, 도전체 층(들), 플러그 등을 포함하나 이에 제한되지 않는 임의의 적절한 도전체 구조를 포함할 수 있다.
에피택셜 기판(540)은 화학 기상 증착(CVD), 물리 기상 증착 PVD), 원자 층 증착(ALD), 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 증착 프로세스를 사용하여 회로 기판(530) 상에 형성될 수 있다. 에피택셜 기판(540)은 단일 층 기판 또는 다층 기판, 예를 들어 단결정 단일 층 기판, 다결정 실리콘(폴리 실리콘) 단일 층 기판, 폴리실리콘 및 금속 다층 기판 등일 수 있다. 또한, 하나 이상의 개구부(542)가 어레이 장치의 하나 이상의 어레이 컨택(TAC) 구조에 대응하는 에피택셜 기판(540)의 영역에 형성될 수 있다. 복수의 TAC(526)는 회로 기판(530)에서 하나 이상의 상호연결 구조(532)와 전기적으로 연결되기 위해 하나 이상의 개구부(542)를 통과할 수 있다.
일부 실시예에서, 3D 메모리 장치(500)는 NAND 플래시 메모리 장치이되, 메모리 셀은 기판(570) 위에서 Y 방향으로 연장되는 채널 구조의 어레이(도 5에 도시되지 않음)의 형태로 제공된다. 이 어레이 장치는 복수의 도전체 층(580A)과 유전체 층(580B) 쌍을 포함하는 교번 도전체/유전체 스택(580)을 통과하며 연장되는 복수의 채널 구조를 포함할 수 있다. 교번 도전체/유전체 스택(580)에서의 도전체/유전체 층 쌍의 수(예를 들어, 32, 64 또는 96)는 3D 메모리 장치(500)에서 메모리 셀의 수를 설정할 수 있다.
교번 도전체/유전체 스택(580)에서의 도전체 층(580A) 및 유전체 층(580B)은 Y 방향을 따라 교번된다. 바꾸어 말하면, 교번 도전체/유전체 스택(580)의 상부 또는 하부에 있는 것을 제외하고는, 각각의 도전체 층(580A)은 양 측면에서 두 개의 유전체 층(580B)에 인접할 수 있고, 각각의 유전체 층(580B)은 양 측면에서 두 개의 도전체 층(580A)에 인접할 수 있다. 도전체 층(580A)은 각각 동일한 두께를 갖거나 상이한 두께를 가질 수 있다. 유사하게, 유전체 층(580B)은 각각 동일한 두께를 갖거나 상이한 두께를 가질 수 있다. 도전체 층(580A)은 텅스텐(W), 코발트(Co), 구리(Cu), 알루미늄(Al), 다결정 실리콘(폴리 실리콘), 도핑된 실리콘, 실리사이드 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 도전성 물질을 포함할 수 있다. 유전체 층(580B)은 실리콘 산화물, 실리콘 질화물, 실리콘 산 질화물 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 유전체 물질을 포함할 수 있다. 일부 실시예에서, 도전체 층(580A)은 W와 같은 금속 층을 포함하고, 유전체 층(580B)은 실리콘 산화물을 포함한다.
일부 실시예에서, 어레이 장치는 슬릿 구조(514)를 더 포함한다. 각각의 슬릿 구조(514)는 교번 도전체/유전체 스택(580)을 통과하며 Y 방향으로 연장될 수 있다. 슬릿 구조(514)는 교번 도전체/유전체 스택(580)을 다수의 블록으로을 분리하기 위해 측 방향으로(즉, 실질적으로 기판에 평행하게) 연장될 수 있다. 슬릿 구조(514)는 W, Co, Cu, Al, 실리사이드 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 도전성 물질로 채워진 슬릿을 포함할 수 있다. 슬릿 구조(514)는 충진된 도전성 물질와 교번 도전체/유전체 스택(580) 사이에 임의의 적합한 유전성 물질을 갖는 유전체 층을 더 포함하여, 교번 도전체/유전체 스택(580)에서 주변 도전체 층(580A)으로부터 충진된 도전성 물질을 전기적으로 절연시킬 수 있다. (예를 들어, 도 2, 3ad-3d, 4a-4b에서 평면도에 도시된 바와 같이) 슬릿 구조(514)는 3D 메모리 장치(500)를 다수의 메모리 핑거로 분리할 수 있다.
일부 실시예에서, 슬릿 구조(514)는 동일한 어레이 공통 소스를 공유하는 동일한 메모리 핑거에서 채널 구조에 대한 소스 컨택로서 기능한다. 따라서, 슬릿 구조(514)는 다중 채널 구조의 "공통 소스 컨택"로 지칭될 수 있다. 일부 실시예에서, 에피택셜 기판(540)은(원하는 도핑 레벨의 p-형 또는 n-형 도펀트를 포함하는) 도핑된 영역(544)을 포함하고, 슬릿 구조(514)의 하단부는 에피택셜 기판(540)의 도핑된 영역(544)과 접촉한다.
일부 실시예에서, 교번 유전체 스택(560)은 에피택셜 기판(540) 상에서 장벽 구조(516)에 의해 측 방향으로 둘러싸인 영역에 위치할 수 있다. 교번 유전체 스택(560)은 복수의 유전체 층 쌍을 포함할 수 있다. 예를 들어, 교번 유전체 스택(560)은 제1 유전체 층(560A) 및 제1 유전체 층(560A)과 다른 제2 유전체 층(560B)으로 이루어진 교번 스택에 의해 형성된다. 일부 실시예에서, 제1 유전체 층(560A)은 실리콘 질화물을 포함하고 제2 유전층(560B)은 실리콘 산화물을 포함한다. 교번 유전체 스택(560)에서의 제2 유전체 층(560B)은 교번 도전체/유전체 스택(580)에서의 유전체 층(580B)과 동일할 수 있다. 일부 실시예에서, 교번 유전체 스택(560)에서의 유전체 층 쌍의 수는 교번 도전체/유전체 스택(580)에서의 도전체/유전체 층 쌍의 수와 동일하다 .
일부 실시예에서, Y 방향으로 연장되는 장벽 구조(516)는 교번 도전체/유전체 스택(580)과 교번 유전체 스택(560)을 측 방향으로 분리한다. 즉, 장벽 구조(516)는 교번 도전체/유전체 스택(580)과 교번 유전체 스택(560) 사이의 경계가 될 수 있다. 교번 유전체 스택(560)은 적어도 장벽 구조(516)에 의해 측 방향으로 둘러싸일 수 있다. 일부 실시예에서, 장벽 구조(516)는 교번 유전체 스택(560)을 완전히 둘러싸기 위해 평면도에서 폐쇄된 형상(예를 들어, 직사각형, 정사각형, 원형 등)이다. 예를 들어, 도 3a-3d에 도시되어 있는 바와 같이, 장벽 구조(324)는 WL TAC 영역(372,376)에서 교번 유전체 스택을 완전히 둘러싸기 위해 평면도에서 직사각형 형상이다. 일부 실시예에서, 장벽 구조(516)는 평면도에서 폐쇄된 형상이 아니지만, 어레이 장치의 하나 이상의 에지와 함께 교번 유전체 스택(560)을 둘러쌀 수 있다. 예를 들어, 도 4a 및 4b에 도시된 바와 같이, 3D 메모리 장치의 에지(들)와 연계하여 장벽 구조(424)는 SS TAC 영역(482, 484)에서 교번 유전체 스택을 둘러싼다.
도 5에 도시된 바와 같이, 3D 메모리 장치(500)는 각각이 교번 유전체 스택(560)을 통과하며 Y 방향으로 연장되는 복수의 TAC(526)를 더 포함한다. TAC(526)는 복수의 유전체 층 쌍을 포함하는, 적어도 장벽 구조(516)에 의해 측 방향으로 둘러싸인 영역 내부에만 형성될 수 있다. 즉, TAC(526)는 유전체 층(예를 들어, 제1 유전체 층(560S) 및 제2 유전체 층(560B))을 통과하며 수직으로 연장될 수 있지만, 임의의 도전체 층(예를 들어, 도전체 층(580A))을 통과하며 연장될 수는 없다. 각각의 TAC(526)는 교번 유전체 스택(560)의 전체 두께(예를 들어, Y 방향의 모든 유전체 층 쌍)를 통해 연장될 수 있다. 일부 실시예에서, TAC(526)는 개구(542)를 통해 에피택셜 기판(540)을 더 관통하고 상호연결 구조(532)에 전기적으로 접촉한다.
TAC(526)는 전력 버스의 일부와 같이, 3D 메모리 장치로부터 및/또는 그 3D 메모리 장치로의 전기적 신호를, 단축된 상호연결 라우팅을 통해 전달할 수 있다. 일부 실시예에서, TAC(526)는 하나 이상의 상호연결 구조(532)를 통해 어레이 장치와 주변 장치(도 5에 도시되지 않음) 사이의 전기적 연결을 제공할 수 있다. TAC(526)는 또한 교번 유전체 스택(560)에 기계적 지지를 제공할 수 있다. 각각의 TAC(526)는 W, Co, Cu, Al, 도핑된 실리콘, 실리사이드 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 도전성 물질로 채워진, 교번 유전체 스택(560)을 통과하는 수직 개구를 포함할수 있다. 일부 실시예에서, TAC(526)가(유전체 층에 의해 둘러싸인) 교번 유전체 스택(560) 내에 형성될 때, TAC(526)와 교번 유전체 스택(560) 사이에서 절연 목적을 위한 추가의 유전체 층은 필요하지 않다.
도 6을 참조하면, 3D 메모리 장치를 형성하기 위한 예시적인 방법(600)의 개략적인 흐름도가 본 개시의 일부 실시예에 따라 예시된다. 방법(600)에 도시된 동작은 완전한 것이 아니며, 도시된 동작 중 어느 것 전, 후에 또는 사이에 다른 동작이 수행될 수 있음을 이해해야한다.
도 6을 참조하면, 방법(600)은 기판이 형성되는 단계(602)에서 시작한다. 일부 실시예에서, 기판을 형성하는 단계는 베이스 기판을 형성하는 단계, 기판 상에 적어도 하나의 주변 회로를 형성하는 단계, 적어도 하나의 주변 회로와 전기적으로 접촉하는 적어도 하나의 상호연결 구조를 형성하는 단계, 및 적어도 하나의 주변 회로 상에 에피택셜 기판을 형성하는 단계를 포함할 수 있다.
베이스 기판은 실리콘(예를 들어, 단결정 실리콘, 다결정 실리콘), 실리콘 게르마늄(SiGe), 갈륨 비소(GaAs), 게르마늄(Ge), 실리콘 온 절연체(SOI), 게르마늄 온 절연체(GOI) 또는 이들의 임의의 적절한 조합을 포함할 수 있는 임의의 적합한 반도체 재료를 사용하여 형성될 수 있다. 일부 실시예에서, 베이스 기판을 형성하는 단계는 연삭, 습식/건식 에칭, 화학 기계적 연마(CMP) 또는 이들의 임의의 조합을 포함하는 박막화 프로세스를 포함한다.
하나 이상의 주변 회로는 페이지 버퍼, 디코더 및 래치를 포함하지만 이에 제한되지 않는 임의의 적합한 디지털, 아날로그 및/또는 혼합-신호 주변 회로를 포함할 수 있다. 일부 실시예에서, 하나 이상의 상호연결 구조는 컨택, 단일 층/다층 비아, 도전체층(들), 플러그 등을 포함하지만 이에 제한되지 않는 임의의 적합한 도전체 구조를 포함할 수 있다.
에피택셜 기판은 화학 기상 증착(CVD), 물리 기상 증착(PVD), 원자 층 증착(ALD) 또는 이들의 임의의 조합을 포함하는 증착 프로세스를 사용하여 하나 이상의 주변 회로 위에 형성될 수 있다. 에피 택셜 기판은 단일 층 기판 또는 다층 기판, 예를 들어 단결정 단일 층 기판, 다결정 실리콘(폴리실리콘) 단일 층 기판, 폴리실리콘 및 금속 다층 기판 등일 수 있다.
일부 실시예에서, 에피택셜 기판을 형성하는 단계는 하나 이상의 상호연결 구조의 적어도 일부가 하나 이상의 개구부에 의해 노출되도록 하나 이상의 개구부를 형성하는 단계를 더 포함한다. 하나 이상의 개구부는 후속 프로세스에서 형성된 하나 이상의 쓰루 어레이 컨택(TAC) 구조(예를 들어, 도 2에 도시된 워드 라인(WL) TAC 구조, 도 3a 내지 도 3d에 도시된 비트 라인(BL) TAC 구조, 및 도 4a 및 도 4b에 도시된 계단 구조(SS) TAC 구조)에 대응할 수 있다. 하나 이상의 개구부는 유전성 물질로 채워질 수 있다.
방법(600)은 기판 상에 교번 유전체 스택이 형성되는 단계(604)로 진행한다. 일부 실시예에서, 복수의 제1 유전체 층 및 제 2 유전체 층 쌍이 기판 상에 형성되어 교번 유전체 스택을 형성할 수 있다. 일부 실시예에서, 각각의 유전체 층 쌍은 실리콘 질화물 층 및 실리콘 산화물 층을 포함한다. 교번 유전체 스택은 CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 하나 이상의 박막 증착 프로세스에 의해 형성될 수 있다.
방법(600)은 교번 유전체 스택의 하나 이상의 에지에 계단 구조가 형성되는 단계(606)로 진행한다. 일부 실시예에서, 트림-에칭 프로세스가 복수의 레벨을 갖는 계단 구조를 형성하기 위해 교번 유전체 스택의 적어도 하나의 측면(측 방향으로)에서 수행될 수 있다. 각 레벨은 교번 제1 유전체 층 및 제2 유전체 층을 갖는 하나 이상의 유전체 층 쌍을 포함할 수 있다.
방법(600)은 복수의 채널 구조 및 하나 이상의 장벽 구조가 형성되는 동작(608)으로 진행된다. 각각의 채널 구조 및 각각의 장벽 구조는 교번 유전체 스택을 통과하며 수직으로 연장될 수 있다.
일부 실시예에서, 채널 구조를 형성하기 위한 제조 프로세스는 예를 들어 습식 에칭 및/또는 건식 에칭에 의해 교번 유전체 스택을 통과하며 수직으로 연장되는 채널 홀을 형성하는 단계를 포함한다. 일부 실시예에서, 채널 구조를 형성하기 위한 제조 프로세스는 반도체 채널과, 교번 유전체 스택에서의 유전체 층 쌍과 반도체 채널 사이의 메모리 필름을 형성하는 단계를 더 포함한다. 반도체 채널은 폴리실리콘과 같은 반도전성 물질을 포함할 수 있다. 메모리 필름은 터널링 층, 저장 층 및 차단 층의 조합과 같은 복합 유전체 층일 수 있다.
터널링 층은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 유전성 물질을 포함할 수 있다. 반도체 채널로부터의 전자 또는 홀은 터널링 층을 통해 저장 층으로 터널링될 수 있다. 저장 층은 메모리 동작을 위한 전하를 저장하기 위한 물질을 포함할 수 있다. 저장 층 물질은 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물과 실리콘 질화물의 조합, 또는 이들의 임의의 조합을 포함하지만, 이에 제한되지는 않는다. 차단 층은 실리콘 산화물 또는 실리콘 산화물/실리콘 질화물/실리콘 산화물의 조합(ONO)을 포함하지만 이에 제한되지 않는 유전성 물질을 포함할 수 있다. 차단 층은 알루미늄 산화물(Al2O3) 층과 같은 하이-k 유전체 층을 더 포함할 수 있다 . 반도체 채널 및 메모리 필름은 하나 이상의 박막 증착 프로세스, 예컨대 ALD, CVD, PVD, 임의의 다른 적합한 프로세스 또는 이들의 임의의 조합에 의해 형성될 수 있다.
일부 실시예에서, 장벽 구조를 형성하기 위한 제조 프로세스은 채널 구조를 형성하기 위한 제조 프로세스과 유사하고 동시에 수행되어 제조 복잡성 및 비용을 감소시킨다. 일부 다른 실시예에서, 채널 구조 및 장벽 구조는 상이한 제조 단계에서 형성되어 장벽 구조는 물질 충진 채널 구조와 다른 물질로 채워질 수 있다.
일부 실시예에서, 장벽 구조를 형성하기 위한 제조 프로세스는 예를 들어 습식 에칭 및/또는 건식 에칭에 의해 교번 유전체 스택을 통과하며 수직으로 연장되는 트렌치를 형성하는 단계를 포함한다. 트렌치가 교번 유전체 스택을 통과하며 형성된 후, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물/실리콘 질화물/실리콘 산화물(ONO), 산화 알루미늄(Al2O3) 등, 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 유전성 물질로 트렌치를 채우도록 하나 이상의 박막 증착 프로세스가 수행될 수 있다.
하나 이상의 장벽 구조를 형성함으로써, 교번 유전체 스택은 두 가지 유형의 영역, 즉(일부 실시예에서 교번 유전체 스택의 에지(들)와 연계하여) 적어도 장벽 구조에 의해 각각이 측 방향으로 둘러싸인 하나 이상의 내부 영역, 및 채널 구조 및/또는 워드 라인 컨택이 형성될 수 있는 외부 영역으로 분할될 수 있다. 각각의 내부 영역은 에피택셜 기판의 개구에 대응한다는 점에 유의한다.
일부 실시예에서, 적어도 하나의 내부 영역은 도 2와 관련하여 전술한 바와 같이 BL TAC 구조를 형성하는데 사용될 수 있다. 이와 같이, 이러한 내부 영역을 둘러싸는 장벽 구조는 WL 방향을 따라 연장되는 두 개의 평행한 장벽을 포함할 수 있다.
일부 실시예에서, 적어도 하나의 내부 영역은 도 3a 또는 3b와 관련하여 전술한 바와 같이 BL TAC 구조를 형성하는데 사용될 수 있다. 그에 따라, 이러한 내부 영역을 둘러싸는 장벽 구조는 직사각형 형상을 가질 수 있다. BL 방향에서의 장벽 구조의 폭은 후속 프로세스에서 형성되는 두 개의 이웃하는 슬릿 구조 사이의 거리보다 클 수 있다.
일부 실시예에서, 적어도 하나의 내부 영역은 도 3c 또는 도 3d와 관련하여 전술한 바와 같이 BL TAC 구조를 형성하는데 사용될 수 있다. 그에 따라, 이러한 내부 영역을 둘러싸는 장벽 구조는 직사각형 형상을 가질 수 있다. BL 방향에서의 장벽 구조의 폭은 후속 프로세스에서 형성되는 두 개의 이웃하는 슬릿 구조 사이의 거리보다 작을 수 있다.
일부 실시예에서, 적어도 하나의 내부 영역은 도 4a와 관련하여 전술한 SS TAC 구조를 형성하는데 사용될 수 있다. 그에 따라, 이러한 내부 영역을 분리하기 위한 장벽 구조는 하나의 개방 에지가 계단 구조의 에지를 향하는 직사각형 형상을 가질 수 있다. BL 방향에서의 장벽 구조물의 폭은 후속 프로세스에서 형성되는 두 개의 이웃하는 슬릿 구조물 사이의 거리보다 클 수 있다.
일부 실시예에서, 적어도 하나의 내부 영역은 도 4b와 관련하여 전술한 SS TAC 구조를 형성하는데 사용될 수 있다. 그에 따라, 이러한 내부 영역을 분리하기 위한 장벽 구조는 하나의 개방 에지가 계단 구조의 에지를 향하는 직사각형 형상을 가질 수 있다. BL 방향에서의 장벽 구조의 폭은 후속 프로세스에서 계단 영역에 형성되는 두 개의 이웃하는 슬릿 구조 사이의 최대 거리보다 작을 수 있다.
일부 실시예에서, 더미 채널 구조는 채널 구조와 동시에 형성될 수 있다. 더미 채널 구조는 교번 층 스택을 통과하며 수직으로 연장될 수 있고 채널 구조와 동일한 물질로 채워질 수 있다. 채널 구조와는 달리, 3D 메모리 장치의 다른 컴포넌트와의 전기적 연결을 제공하기 위한 컨택은 더미 채널 구조 상에 형성되지 않는다. 따라서, 더미 채널 구조는 3D 메모리 장치에서 메모리 셀을 형성하는데 사용될 수 없다.
방법(600)은 복수의 슬릿이 형성되고, 교번 유전체 스택의 일부에서의 제1 유전체 층이 복수의 슬릿을 통해 도전체 층으로 대체되는 단계(610)로 진행한다. 예를 들어, WL 방향을 따라 연장되는 다중 평행 슬릿이 먼저 외부 영역에서 교번 유전체 스택을 통과하며 유전체(예를 들어, 실리콘 산화물 및 실리콘 질화물)의 습식 에칭 및/또는 건식 에칭에 의해 형성될 수 있다. 일부 실시예에서, 그런 다음 도핑된 영역은 예를 들어 슬릿을 통한 이온 주입 및/또는 열 확산에 의해 각 슬릿 아래의 에피택셜 기판에 형성된다. 일부 실시예에 따르면, 도핑된 영역은 예를 들어 슬릿을 형성하기 전에 초기 제조 단계에서 형성될 수 있는 것으로 이해된다.
일부 실시예에서, 형성된 슬릿은 교번 유전체 스택의 외부 영역에서, 제1 유전체 층(예를 들어, 실리콘 질화물)을 도전체 층(예를 들어, W)으로 대체하는 게이트 대체 프로세스("워드 라인 대체" 프로세스라고도 함)에 사용된다. 게이트 대체는 장벽 구조의 형성으로 인해, 교번 유전 스택의 외부 영역에서만 발생하지만 내부 영역에서는 발생하지 않는다는 점에 유의한다. 장벽 구조는 게이트 대체 프로세스의 에칭 단계에 의해 에칭될 수 없는 물질로 채워지기 때문에, 장벽 구조는 교번 유전체 스택의 내부 영역에서 제1 유전체 층(예를 들어, 실리콘 질화물)의 에칭을 방지할 수 있다.
결과적으로, 게이트 대체 프로세스 후, 외부 영역의 교번 유전체 스택은 교번 도전체/유전체 스택이 된다. 제1 유전층을 도전체 층으로 대체하는 것은 제2 유전체 층(예를 들어, 실리콘 산화물)에 선택적인 제1 유전체 층(예를 들어, 실리콘 질화물)을 습식 에칭하고, 도전체 층(예를 들어, W)으로 구조를 충진함으로써 수행될 수 있다. 도전체 층은 PVD, CVD, ALD, 임의의 다른 적합한 프로세스 또는 이들의 임의의 조합에 의해 충진될 수 있다. 도전체 층은 W, Co, Cu, Al, 폴리실리콘, 실리사이드 또는 이들의 임의의 조합을 포함하나 이에 국한되지 않는 도전성 물질을 포함할 수 있다.
방법(600)은 PVD, CVD, ALD, 임의의 다른 적절한 프로세스, 또는 이들의 임의의 조합에 의해 슬릿에 도전성 물질을 충진(예를 들어, 증착)함으로써 슬릿 구조가 형성되는 단계(612)로 진행한다. 슬릿 구조는 W, Co, Cu, Al, 폴리실리콘, 실리사이드 또는 이들의 임의의 조합을 포함하지만 이에 제한되지 않는 도전성 물질을 포함할 수 있다. 일부 실시예에서, 절연 목적을 위해 교번 도전체/유전체 스택에서 슬릿 구조의 도전성 물질과 슬릿 구조를 둘러싼 도전체 층 사이에 유전체 층(예를 들어, 실리콘 산화물 층)이 먼저 형성된다. 슬릿 구조의 하단부는 도핑된 영역과 접촉할 수 있다.
방법(600)은 복수의 TAC가 교번 유전체 스택을 통과하며 형성되는 단계(614)로 진행한다. TAC는 먼저(예를 들어, 습식 에칭 및/또는 건식 에칭을 통해) 수직 개구부를 에칭하고 그런 다음 ALD, CVD, PVD, 임의의 다른 적합한 프로세스, 또는 이들의 임의의 조합을 사용하여 개구부를 도전성 물질로 충진함으로써 하나 이상의 내부 영역에 형성될 수 있다. 로컬 컨택을 채우는 데 사용되는 도전 성 물질은 W, Co, Cu, Al, 폴리실리콘, 실리사이드 또는 이들의 임의의 조합을 포함할 수 있지만, 이에 제한되지는 않는다. 일부 실시예에서, 장벽 층, 접착 층 및/또는 시드 층으로서 기능하도록 개구부를 채우기 위해 다른 도전성 물질이 또한 사용된다.
TAC는 교번 유전체 스택의 전체 두께 및 에피택셜 기판 내의 개구부(들)에 형성된 유전체 층을 에칭함으로써 형성될 수 있다. 교번 유전체 스택은 실리콘 산화물 및 실리콘 질화물과 같은 교번 유전체 층을 포함하기 때문에, TAC의 개구부는(예를 들어, 깊은 반응성 이온 에칭(DRIE) 프로세스 또는 임의의 다른 적합한 이방성 에칭 프로세스에 의한) 유전성 물질의 깊은 에칭에 의해 형성될 수 있다. 일부 실시에에서, TAC는 에피택셜 기판의 개구부를 통해 에피택셜 기판을 관통한다. TAC의 하단은 기판의 상호연결 구조와 접촉할 수 있다. 이와 같이, TAC는 기판에 형성된 주변 장치와 전기적으로 연결될 수 있다.
일부 실시예에서, TAC는 게이트 대체 이후에, 게이트 대체 프로세스에 의해 영향을 받지 않는(교번 도전체/유전체 스택으로 전환되지 않는) 교번 유전체 스택의 영역을 예비함으로써 형성되지만, TAC는(임의의 도전체 층을 통과하지 않고) 여전히 유전체 층을 통과하며 형성되어, 제조 프로세스을 단순화하고 비용을 절감한다.
본 개시에 따른 다양한 실시예는 메모리 어레이를 위해 쓰루 어레이 컨택 구조를 갖는 3D 메모리 장치를 제공한다. 본 명세서에 개시된 쓰루 어레이 컨택 구조는 스택형 어레이 장치와 (예를 들어, 전력 버스 및 금속 라우팅을 위한) 주변 장치 사이의 수직 상호연결을 제공하여, 금속 레벨을 감소시키고 다이 크기를 감소시키는 TAC를 포함할 수 있다. 일부 실시예에서, 본 명세서에 개시된 쓰루 어레이 컨택 구조에서의 TAC는 교번 유전체 층의 스택을 통과하며 형성되고, 이 스택은 교번 도전체 및 유전체 층의 스택과 비교했을 때 관통 홀을 형성하는데 보다 용이하게 에칭될 수 있어, 프로세스 복잡성 및 제조 비용을 감소시킨다.
따라서, 본 발명의 일 양태는 적어도 하나의 주변 회로를 포함하는 기판, 및 기판 상에 배치된 교번 층 스택을 포함하는 3차원(3D) NAND 메모리 장치를 개시한다. 교번 층 스택은 복수의 유전체 층 쌍을 포함하는 교번 유전체 스택을 포함하는 제1 영역, 복수의 도전체/유전체 층 쌍을 포함하는 교번 도전체/유전체 스택을 포함하는 제2 영역, 및 워드 라인 방향에서 교번 도전체/유전체 층 스택의 에지 상에 계단 구조를 포함하는 제3 영역을 포함한다. 메모리 장치는 제1 영역을 제2 영역 또는 제3 영역으로부터 측 방향으로 분리하기 위해 교번 층 스택을 통과하며 수직으로 연장되는 장벽 구조, 각각이 교번 도전체/유전체 스택을 통과하며 수직으로 연장되는 복수의 슬릿 구조 및 복수의 채널 구조, 및 각각이 교번 유전체 스택을 통과하며 수직으로 연장되는 제1 영역 내의 복수의 쓰루 어레이 컨택을 더 포함한다. 복수의 쓰루 어레이 컨택 중 적어도 하나는 적어도 하나의 주변 회로와 전기적으로 연결된다.
본 개시의 또 다른 양상은 3차원(3D) NAND 메모리 장치를 형성하는 방법을 제공한다. 이 방법은 적어도 하나의 주변 회로를 포함하는 기판을 형성하는 단계와, 기판 상에, 복수의 유전체 층 쌍을 포함하는 교번 유전체 스택을 형성하는 단계- 복수의 유전체 층 쌍 각각은 제1 유전체 층 및 제1 유전체 층과 다른 제2 유전체 층을 포함함 -와, 교번 유전체 스택의 에지에 계단 구조를 형성하는 단계와, 각각이 교번 유전체 스택을 통과하며 수직으로 연장되는 적어도 하나의 장벽 구조 및 복수의 채널 구조를 형성하는 단계를 포함한다. 적어도 하나의 장벽 구조는 교번 유전체 스택을, 제2 영역, 및 적어도 장벽 구조에 의해 측 방향으로 둘러싸인 적어도 하나의 제1 영역으로 분리한다. 방법은 복수의 슬릿을 형성하고, 이 슬릿을 통해, 교번 유전체 스택의 제2 부분 내의 제1 유전체 층을 도전체 층으로 대체하여 복수의 도전체/유전체 층 쌍을 포함하는 교번 도전체/유전체 스택을 형성하는 단계와, 도전성 물질을 슬릿 내로 증착하여 복수의 슬릿 구조를 형성하는 단계와, 제1 영역 내에 복수의 쓰루 어레이 컨택을 형성하는 단계를 포함하되, 각각의 쓰루 어레이 컨택은 교번 유전체 스택을 통과하며 수직으로 연장되어, 복수의 쓰루 어레이 컨택 중 적어도 하나를 적어도 하나의 주변 회로에 전기적으로 연결한다.
특정 실시예들에 대한 전술한 설명은 당업자가 과도한 실험없이 본 개시의 일반적인 개념 내에서, 다양한 응용을 위해 이러한 특정 실시예를 당업계의 지식을 이용하여 용이하게 수정 및/또는 조정할 수 있도록 본 개시의 일반적인 특징을 충실히 설명할 것이다. 따라서, 이러한 조정 및 수정은 본 명세서에 제시된 교시 및 지침에 기초하여, 개시된 실시예의 균등물의 의미 및 범위 내에 속하려 한다. 본 명세서의 문구 또는 용어는 설명의 목적을 위한 것이지 제한하려는 것이 아니며, 본 명세서의 용어 또는 문구는 교시 및 지침에 비추어 당업자에 의해 해석되어야한다.
본 개시의 실시예는 특정 기능 및 그 관계의 구현을 예시하는 기능적 구성 블록의 도움으로 설명되었다. 이들 기능적 구성 블록의 경계는 설명의 편의를 위해 본 명세서에서 임의로 정의되었다. 특정 기능 및 그 관계가 적절히 수행되는 한 다른 경계가 정의될 수 있다.
발명의 내용 및 요약서는 본 발명자(들)에 의해 고려되는 본 개시의 실시예들 중 전부가 아닌 하나 이상을 설명할 수 있고, 따라서 본 개시 및 첨부된 청구 범위를 어떠한 방식으로도 제한하려는 것이 아니다.
본 개시의 폭 및 범위는 전술한 예시적인 실시예들 중 어느 것에 의해 제한되지 않아야 하고, 다음의 청구 범위 및 그 등가물에 따라서만 정의되어야 한다.

Claims (19)

  1. 3차원(3D) 메모리 장치로서,
    수직 방향으로 배치된 복수의 유전체 층 쌍(a plurality of dielectric layer pairs)을 포함하는 교번 유전체 스택과,
    상기 수직 방향으로 배치된 복수의 도전체/유전체 층 쌍을 포함하는 교번 도전체/유전체 스택과,
    워드 라인 방향을 따라 측 방향으로 연장하는 두 개의 평행한 장벽 구조를 포함하는 장벽 구조와,
    주변 회로와 전기적으로 연결되고 상기 교번 유전체 스택을 상기 수직 방향으로 통과하여 연장하는 적어도 하나의 쓰루 어레이 컨택(through array contact)
    을 포함하고,
    상기 교번 유전체 스택은 상기 두 개의 평행한 장벽 구조에 의해 상기 교번 도전체/유전체 스택으로부터 샌드위치되어 분리되는
    3D 메모리 장치.
  2. 제1항에 있어서,
    상기 장벽 구조는 비트 라인 방향을 따라 연장하는 제3 장벽 구조를 포함하고, 상기 제3 장벽 구조는 상기 두 개의 평행한 장벽 구조에 연결되어 상기 장벽 구조가 3면의 장벽 구조를 이루도록 하는
    3D 메모리 장치.
  3. 제2항에 있어서,
    상기 교번 유전체 스택 및 상기 장벽 구조는 계단 영역 내에 위치하는
    3D 메모리 장치.
  4. 제2항에 있어서,
    상기 3D 메모리 장치는 채널 구조 영역을 더 포함하고, 상기 제3 장벽 구조는 상기 두 개의 평행한 장벽 구조와 상기 채널 구조 영역 사이에 상기 워드 라인 방향을 따라 위치하는
    3D 메모리 장치.
  5. 제2항에 있어서,
    상기 3D 메모리 장치는 채널 구조 영역을 더 포함하고, 상기 제3 장벽 구조는 상기 3면의 장벽 구조의 오프닝에 비해 상기 채널 구조 영역에 가깝게 위치하는
    3D 메모리 장치.
  6. 제2항에 있어서,
    상기 교번 유전체 스택 및 상기 장벽 구조는 상기 3D 메모리 장치의 에지 영역에 위치하고, 상기 제3 장벽 구조는 상기 3면의 장벽 구조의 오프닝에 비해 상기 에지 영역으로부터 멀리 위치하는
    3D 메모리 장치.
  7. 제1항에 있어서,
    상기 두 개의 평행한 장벽 구조를 연결하는 장벽 구조는 비트 라인 방향을 따라서는 존재하지 않는
    3D 메모리 장치.
  8. 제7항에 있어서,
    상기 교번 도전체/유전체 스택을 통과하여 수직 방향으로 각각 연장하는 복수의 더미 채널 구조를 더 포함하고, 상기 두 개의 평행한 장벽 구조는 상기 복수의 더미 채널 구조에 의해 상기 비트 라인 방향을 따라 샌드위치되고, 상기 복수의 더미 채널 구조는 상기 복수의 채널 구조에 의해 상기 비트 라인 방향을 따라 샌드위치되는
    3D 메모리 장치.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 장벽 구조의 높이는 상기 교번 유전체 스택의 두께 및 상기 교번 도전체/유전체 스택의 두께보다 큰
    3D 메모리 장치.
  10. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상호연결 구조를 갖는 제1 기판과,
    상기 제1 기판 상에 배치된 제2 기판을 포함하고,
    상기 적어도 하나의 쓰루 어레이 컨택은 상기 제2 기판을 통과하여 연장하여 상기 상호연결 구조와 연결되는
    3D 메모리 장치.
  11. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 교번 도전체/유전체 스택을 상기 수직 방향으로 통과하여 연장하는 복수의 채널 구조를 더 포함하고,
    상기 장벽 구조는 실리콘 산화물을 포함하고,
    유전체 층 쌍 각각은 실리콘 산화물층 및 실리콘 질화물층을 포함하고,
    도전체/유전체 층 쌍 각각은 금속층 및 실리콘 산화물층을 포함하는
    3D 메모리 장치.
  12. 제1항 내지 제8항 중 어느 한 항에 있어서,
    수직 방향으로는 상기 교번 도전체/유전체 스택을 각각 통과하여 연장하며 측 방향으로는 상기 워드 라인 방향을 따라 채널 구조 영역 및 계단 영역을 전부 각각 통과하여 연장하는 두 개의 슬릿 구조를 더 포함하고, 상기 장벽 구조는 상기 두 개의 슬릿 구조에 의해 샌드위치되는
    3D 메모리 장치.
  13. 제1항 내지 제8항 중 어느 한 항에 있어서,
    수직 방향으로는 상기 교번 도전체/유전체 스택을 통과하여 각각 연장하며 측 방향으로는 상기 워드 라인 방향으로 각각 연장하는 복수의 슬릿 구조를 더 포함하고,
    상기 슬릿 구조 중 적어도 하나는 계단 영역 내에서 절단되는
    3D 메모리 장치.
  14. 제1항 내지 제8항 중 어느 한 항에 있어서,
    제1 복수의 슬릿 구조 및 제2 복수의 슬릿 구조를 더 포함하고, 각 슬릿 구조는 수직 방향으로는 상기 교번 도전체/유전체 스택을 통과하여 연장하고 측 방향으로는 상기 워드 라인 방향으로 연장하며,
    상기 제1 복수의 슬릿 구조는 상기 제2 복수의 슬릿 구조와 상기 워드 라인 방향에서 정렬되지 않는
    3D 메모리 장치.
  15. 3D 메모리 장치를 형성하기 위한 방법으로서,
    복수의 유전체 층 쌍(a plurality of dielectric layer pairs)을 포함하는 교번 유전체 스택을 형성하는 단계 - 각 유전체 층 쌍은 제1 유전체 층 및 상기 제1 유전체 층과 상이한 제2 유전체 층을 포함함 -와,
    상기 교번 유전체 스택을 수직 방향으로 통과하여 연장하고 워드 라인 방향을 따라 측 방향으로 연장하는 두 개의 평행한 장벽 구조를 포함하는 장벽 구조를 형성하는 단계 - 상기 장벽 구조는, 상기 교번 유전체 스택을 상기 두 개의 평행한 장벽 구조에 의해 샌드위치된 제1 영역과 상기 두 개의 평행한 장벽 구조 외부의 제2 영역으로 분리함 - 와,
    상기 교번 유전체 스택의 상기 제2 영역 내의 상기 제1 유전체 층을 도전체 층으로 교체하여 복수의 도전체/유전체 층 쌍을 포함하는 교번 도전체/유전체 스택을 형성하는 단계와,
    상기 교번 유전체 스택의 상기 제1 영역을 수직 방향으로 통과하여 연장하며, 주변 회로와 전기적으로 연결되는 적어도 하나의 쓰루 어레이 컨택을 형성하는 단계를 포함하는
    3D 메모리 장치 형성 방법.
  16. 제15항에 있어서,
    상기 장벽 구조는 비트 라인 방향을 따라 연장하는 제3 장벽 구조를 포함하고, 상기 제3 장벽 구조는 상기 두 개의 평행한 장벽 구조에 연결되어 상기 장벽 구조가 3면의 장벽 구조를 이루도록 하는
    3D 메모리 장치 형성 방법.
  17. 제15항 또는 제16항에 있어서,
    상기 교번 유전체 스택 및 상기 장벽 구조는 계단 영역 내에 위치하는
    3D 메모리 장치 형성 방법.
  18. 제15항 또는 제16항에 있어서,
    제1 기판 상에 상호연결 구조를 형성하는 단계와,
    상기 상호연결 구조 상에 배치된 제2 기판을 배치하는 단계를 더 포함하고,
    상기 적어도 하나의 쓰루 어레이 컨택은 상기 제2 기판을 통과하여 연장하여 상기 상호연결 구조와 연결되는
    3D 메모리 장치 형성 방법.
  19. 제15항 또는 제16항에 있어서,
    상기 교번 유전체 스택의 상기 제2 영역 내의 상기 제1 유전체 층을 도전체 층으로 교체하기 이전에,
    상기 교번 유전체 스택의 상기 제2 영역을 각각 수직으로 통과하여 연장하는 복수의 채널 구조를 형성하는 단계를 더 포함하는
    3D 메모리 장치 형성 방법.
KR1020217042772A 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조 KR102561732B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237025666A KR20230117633A (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
CN201710135329.2A CN106920794B (zh) 2017-03-08 2017-03-08 一种3d nand存储器件及其制造方法
CN201710135329.2 2017-03-08
CN201710135654.9 2017-03-08
CN201710135654.9A CN107068687B (zh) 2017-03-08 2017-03-08 一种3d nand存储器件及其制造方法
PCT/CN2018/077719 WO2018161836A1 (en) 2017-03-08 2018-03-01 Through array contact structure of three-dimensional memory device
KR1020197029441A KR102346409B1 (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197029441A Division KR102346409B1 (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237025666A Division KR20230117633A (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조

Publications (2)

Publication Number Publication Date
KR20220000956A KR20220000956A (ko) 2022-01-04
KR102561732B1 true KR102561732B1 (ko) 2023-07-31

Family

ID=63448095

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020217042772A KR102561732B1 (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조
KR1020237025666A KR20230117633A (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조
KR1020197029441A KR102346409B1 (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020237025666A KR20230117633A (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조
KR1020197029441A KR102346409B1 (ko) 2017-03-08 2018-03-01 3차원 메모리 장치의 쓰루 어레이 컨택 구조

Country Status (7)

Country Link
US (5) US10553604B2 (ko)
EP (1) EP3580783B1 (ko)
JP (3) JP6978645B2 (ko)
KR (3) KR102561732B1 (ko)
CN (1) CN110114881B (ko)
TW (1) TWI665785B (ko)
WO (1) WO2018161836A1 (ko)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106910746B (zh) * 2017-03-08 2018-06-19 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法、封装方法
KR102561732B1 (ko) 2017-03-08 2023-07-31 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 메모리 장치의 쓰루 어레이 컨택 구조
KR102442933B1 (ko) * 2017-08-21 2022-09-15 삼성전자주식회사 3차원 반도체 장치
US10726921B2 (en) * 2017-09-19 2020-07-28 Sandisk Technologies Llc Increased terrace configuration for non-volatile memory
KR102619626B1 (ko) * 2018-06-12 2023-12-29 삼성전자주식회사 3차원 반도체 메모리 소자
WO2019246454A1 (en) * 2018-06-20 2019-12-26 Neuropore Therapies, Inc. Method of treating a condition associated with neurodegeneration using inhibitors of oat3
KR102589663B1 (ko) * 2018-08-22 2023-10-17 삼성전자주식회사 3차원 반도체 메모리 소자
US10868032B2 (en) 2018-10-15 2020-12-15 Micron Technology, Inc. Dielectric extensions in stacked memory arrays
KR102613951B1 (ko) * 2018-10-18 2023-12-13 양쯔 메모리 테크놀로지스 씨오., 엘티디. 지그재그 슬릿 구조를 갖는 3차원 메모리 장치 및 이를 형성하기 위한 방법
US10804293B2 (en) * 2018-10-25 2020-10-13 Samsung Electronics Co., Ltd. Nonvolatile memory device, vertical NAND flash memory device and SSD device including the same
BR112021007364B1 (pt) 2018-12-07 2024-01-30 Yangtze Memory Technologies Co., Ltd Dispositivo de memória
CN110036480B (zh) * 2019-02-18 2022-06-14 长江存储科技有限责任公司 用于改善3d nand的页面或块尺寸和性能的沟道孔和位线架构及方法
KR102650424B1 (ko) * 2019-02-25 2024-03-25 에스케이하이닉스 주식회사 반도체 메모리 장치
WO2020172798A1 (en) * 2019-02-26 2020-09-03 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device and method for forming the same
CN111524900B (zh) 2019-03-04 2021-02-09 长江存储科技有限责任公司 三维存储器件
CN110121778B (zh) 2019-03-04 2020-08-25 长江存储科技有限责任公司 三维存储器件
WO2020198944A1 (en) * 2019-03-29 2020-10-08 Yangtze Memory Technologies Co., Ltd. Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
TWI692018B (zh) * 2019-04-22 2020-04-21 旺宏電子股份有限公司 半導體結構及其形成方法
US11037944B2 (en) 2019-07-10 2021-06-15 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells and operative through-array-vias
KR20210013790A (ko) * 2019-07-29 2021-02-08 삼성전자주식회사 반도체 메모리 장치
US10985179B2 (en) 2019-08-05 2021-04-20 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells and operative through-array-vias
KR20210022797A (ko) * 2019-08-20 2021-03-04 삼성전자주식회사 반도체 장치
KR20210027938A (ko) * 2019-09-03 2021-03-11 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조 방법
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
CN110870069B (zh) 2019-09-29 2021-01-29 长江存储科技有限责任公司 三维存储器件及其形成方法
CN110785846B (zh) * 2019-09-29 2021-03-23 长江存储科技有限责任公司 三维存储器件及其形成方法
CN110785847B (zh) 2019-09-29 2021-02-09 长江存储科技有限责任公司 三维存储器件及其形成方法
KR20210043241A (ko) 2019-10-11 2021-04-21 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그의 제조 방법
WO2021097796A1 (en) 2019-11-22 2021-05-27 Yangtze Memory Technologies Co., Ltd. Contact structures having conductive portions in substrate in three-dimensional memory devices and methods for forming the same
CN111066146A (zh) * 2019-11-22 2020-04-24 长江存储科技有限责任公司 三维存储器件中的具有处于衬底内的导电部分的接触结构及其形成方法
KR20210083545A (ko) 2019-12-27 2021-07-07 삼성전자주식회사 씨오피 구조를 갖는 메모리 장치 및 이를 포함하는 메모리 패키지
CN113764432B (zh) * 2020-01-02 2024-02-27 长江存储科技有限责任公司 3d存储器件及其制造方法
KR20210091475A (ko) * 2020-01-14 2021-07-22 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조 방법
US11342244B2 (en) * 2020-01-21 2022-05-24 Sandisk Technologies Llc Bonded assembly of semiconductor dies containing pad level across-die metal wiring and method of forming the same
JP2022528707A (ja) 2020-01-21 2022-06-15 長江存儲科技有限責任公司 3次元メモリデバイスの相互接続構造
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
CN111279480B (zh) 2020-01-28 2021-02-12 长江存储科技有限责任公司 三维存储器件及用于形成三维存储器件的方法
EP3900041A4 (en) * 2020-01-28 2022-08-24 Yangtze Memory Technologies Co., Ltd. VERTICAL STORAGE DEVICES
WO2021151220A1 (en) * 2020-01-28 2021-08-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
KR20210099348A (ko) * 2020-02-04 2021-08-12 에스케이하이닉스 주식회사 반도체 장치
US11380705B2 (en) * 2020-02-07 2022-07-05 Micron Technology, Inc. Integrated assemblies, and methods of forming integrated assemblies
CN111403406B (zh) * 2020-03-13 2023-05-05 长江存储科技有限责任公司 三维存储器及其制备方法
JP2021150296A (ja) * 2020-03-16 2021-09-27 キオクシア株式会社 半導体記憶装置
US11081443B1 (en) 2020-03-24 2021-08-03 Sandisk Technologies Llc Multi-tier three-dimensional memory device containing dielectric well structures for contact via structures and methods of forming the same
JP7317995B2 (ja) * 2020-04-24 2023-07-31 長江存儲科技有限責任公司 ドレイン選択ゲートカット構造を備えた三次元メモリデバイスおよびこれを形成するための方法
US11342245B2 (en) 2020-05-22 2022-05-24 Sandisk Technologies Llc Through-stack contact via structures for a three-dimensional memory device and methods of forming the same
US11355506B2 (en) * 2020-05-22 2022-06-07 Sandisk Technologies Llc Through-stack contact via structures for a three-dimensional memory device and methods of forming the same
US11367736B2 (en) 2020-05-22 2022-06-21 Sandisk Technologies Llc Through-stack contact via structures for a three-dimensional memory device and methods of forming the same
US11437318B2 (en) * 2020-06-12 2022-09-06 Micron Technology, Inc. Microelectronic devices including staircase structures, and related memory devices and electronic systems
WO2021248426A1 (en) * 2020-06-12 2021-12-16 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices with drain select gate cut and methods for forming the same
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US11985825B2 (en) 2020-06-25 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. 3D memory array contact structures
US11587919B2 (en) 2020-07-17 2023-02-21 Micron Technology, Inc. Microelectronic devices, related electronic systems, and methods of forming microelectronic devices
US11482536B2 (en) 2020-07-23 2022-10-25 Micron Technology, Inc. Electronic devices comprising memory pillars and dummy pillars including an oxide material, and related systems and methods
WO2022032469A1 (en) * 2020-08-11 2022-02-17 Yangtze Memory Technologies Co., Ltd. Memory device and fabrication method thereof
KR20220033594A (ko) * 2020-09-08 2022-03-17 삼성전자주식회사 반도체 장치 및 이를 포함하는 데이터 저장 시스템
KR20220036053A (ko) 2020-09-15 2022-03-22 삼성전자주식회사 반도체 장치 및 이를 포함하는 데이터 저장 시스템
WO2022094796A1 (en) * 2020-11-04 2022-05-12 Yangtze Memory Technologies Co., Ltd. Bottom select gate contacts for center staircase structures in three-dimensional memory devices
CN112331667B (zh) * 2020-11-10 2021-09-28 长江存储科技有限责任公司 三维存储器及其制造方法
WO2022133990A1 (en) 2020-12-25 2022-06-30 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device having source-select-gate cut structures and methods for forming the same
US11889694B2 (en) 2021-08-09 2024-01-30 Sandisk Technologies Llc Three-dimensional memory device with separated contact regions and methods for forming the same
US11996153B2 (en) 2021-08-09 2024-05-28 Sandisk Technologies Llc Three-dimensional memory device with separated contact regions and methods for forming the same
US11792988B2 (en) * 2021-08-09 2023-10-17 Sandisk Technologies Llc Three-dimensional memory device with separated contact regions and methods for forming the same
KR20230074415A (ko) * 2021-11-18 2023-05-30 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3d 메모리 디바이스 및 그 형성 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101162721A (zh) 2006-10-11 2008-04-16 三星电子株式会社 具有3-维布置的存储单元的nand快闪存储器件及其制造方法
CN103117282A (zh) 2011-10-24 2013-05-22 爱思开海力士有限公司 三维非易失性存储器件、存储系统及制造方法
US20160163732A1 (en) 2014-12-09 2016-06-09 Joon-Sung LIM Semiconductor devices

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120121177A (ko) * 2011-04-26 2012-11-05 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
JP6140400B2 (ja) * 2011-07-08 2017-05-31 エスケーハイニックス株式会社SK hynix Inc. 半導体装置及びその製造方法
KR101845511B1 (ko) * 2011-10-11 2018-04-05 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자 제조 방법
US8933502B2 (en) 2011-11-21 2015-01-13 Sandisk Technologies Inc. 3D non-volatile memory with metal silicide interconnect
US8951859B2 (en) * 2011-11-21 2015-02-10 Sandisk Technologies Inc. Method for fabricating passive devices for 3D non-volatile memory
US8878278B2 (en) * 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
KR101989514B1 (ko) * 2012-07-11 2019-06-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101985936B1 (ko) 2012-08-29 2019-06-05 에스케이하이닉스 주식회사 불휘발성 메모리 소자와 그 제조방법
CN103680611B (zh) * 2012-09-18 2017-05-31 中芯国际集成电路制造(上海)有限公司 3d nand存储器以及制作方法
KR20140063147A (ko) * 2012-11-16 2014-05-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US9230987B2 (en) * 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
CN104051467B (zh) * 2013-03-13 2017-04-12 旺宏电子股份有限公司 具有增强的接触区的三维集成电路装置
KR20150116510A (ko) 2014-04-07 2015-10-16 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR102150253B1 (ko) 2014-06-24 2020-09-02 삼성전자주식회사 반도체 장치
US9401309B2 (en) * 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9230974B1 (en) * 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
JP2016058552A (ja) 2014-09-09 2016-04-21 株式会社東芝 半導体装置の製造方法
US20160079252A1 (en) 2014-09-11 2016-03-17 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
CN104269407B (zh) 2014-09-16 2017-04-19 华中科技大学 一种非易失性高密度三维半导体存储器件及其制备方法
US9419135B2 (en) 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
KR102310511B1 (ko) * 2014-12-19 2021-10-08 삼성전자주식회사 반도체 소자 및 그 형성 방법
US9397046B1 (en) * 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) * 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US10074661B2 (en) * 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
KR102282139B1 (ko) 2015-05-12 2021-07-28 삼성전자주식회사 반도체 장치
KR20160138765A (ko) 2015-05-26 2016-12-06 에스케이하이닉스 주식회사 슬리밍 구조물을 포함하는 반도체 메모리 장치
KR20160138883A (ko) * 2015-05-26 2016-12-06 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9960177B2 (en) 2015-05-26 2018-05-01 SK Hynix Inc. Semiconductor device and manufacturing method of the same
KR102378820B1 (ko) * 2015-08-07 2022-03-28 삼성전자주식회사 메모리 장치
KR20170027571A (ko) * 2015-09-02 2017-03-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9401371B1 (en) * 2015-09-24 2016-07-26 Macronix International Co., Ltd. Sacrificial spin-on glass for air gap formation after bl isolation process in single gate vertical channel 3D NAND flash
US9818693B2 (en) 2015-12-22 2017-11-14 Sandisk Technologies Llc Through-memory-level via structures for a three-dimensional memory device
US10269620B2 (en) * 2016-02-16 2019-04-23 Sandisk Technologies Llc Multi-tier memory device with through-stack peripheral contact via structures and method of making thereof
US9576967B1 (en) * 2016-06-30 2017-02-21 Sandisk Technologies Llc Method of suppressing epitaxial growth in support openings and three-dimensional memory device containing non-epitaxial support pillars in the support openings
KR102561732B1 (ko) 2017-03-08 2023-07-31 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 메모리 장치의 쓰루 어레이 컨택 구조
CN107068687B (zh) * 2017-03-08 2018-10-12 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
CN106920794B (zh) * 2017-03-08 2018-11-30 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101162721A (zh) 2006-10-11 2008-04-16 三星电子株式会社 具有3-维布置的存储单元的nand快闪存储器件及其制造方法
CN103117282A (zh) 2011-10-24 2013-05-22 爱思开海力士有限公司 三维非易失性存储器件、存储系统及制造方法
US20160163732A1 (en) 2014-12-09 2016-06-09 Joon-Sung LIM Semiconductor devices

Also Published As

Publication number Publication date
US11785776B2 (en) 2023-10-10
US20230389323A1 (en) 2023-11-30
EP3580783A4 (en) 2020-11-18
US20190043879A1 (en) 2019-02-07
JP2020513164A (ja) 2020-04-30
JP6978645B2 (ja) 2021-12-08
US10553604B2 (en) 2020-02-04
KR20220000956A (ko) 2022-01-04
TW201901932A (zh) 2019-01-01
CN110114881A (zh) 2019-08-09
US10910397B2 (en) 2021-02-02
JP2021193742A (ja) 2021-12-23
US20210126005A1 (en) 2021-04-29
KR102346409B1 (ko) 2021-12-31
KR20190122824A (ko) 2019-10-30
EP3580783A1 (en) 2019-12-18
US20230005950A1 (en) 2023-01-05
US11545505B2 (en) 2023-01-03
JP7242791B2 (ja) 2023-03-20
TWI665785B (zh) 2019-07-11
KR20230117633A (ko) 2023-08-08
CN110114881B (zh) 2020-03-27
EP3580783B1 (en) 2024-05-01
JP2023076473A (ja) 2023-06-01
WO2018161836A1 (en) 2018-09-13
US20200152653A1 (en) 2020-05-14

Similar Documents

Publication Publication Date Title
KR102561732B1 (ko) 3차원 메모리 장치의 쓰루 어레이 컨택 구조
KR102271600B1 (ko) 3차원 메모리 장치의 하이브리드 본딩 컨택 구조
JP7371143B2 (ja) 3次元メモリデバイスの相互接続構造

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant