JP2022528707A - 3次元メモリデバイスの相互接続構造 - Google Patents

3次元メモリデバイスの相互接続構造 Download PDF

Info

Publication number
JP2022528707A
JP2022528707A JP2021559587A JP2021559587A JP2022528707A JP 2022528707 A JP2022528707 A JP 2022528707A JP 2021559587 A JP2021559587 A JP 2021559587A JP 2021559587 A JP2021559587 A JP 2021559587A JP 2022528707 A JP2022528707 A JP 2022528707A
Authority
JP
Japan
Prior art keywords
contact
channel
local
staircase
slit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021559587A
Other languages
English (en)
Inventor
クン・ジャン
ハオジェ・ソン
クン・バオ
ジリアン・シア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2022528707A publication Critical patent/JP2022528707A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Abstract

3Dメモリデバイスおよびそれを形成するための方法の実施形態が開示される。ある例では、3Dメモリデバイスは、基板と、メモリスタックと、チャネル構造と、チャネルローカルコンタクトと、スリット構造と、階段ローカルコンタクトとを含む。メモリスタックは、基板の上の交互配置された導電層および誘電層を含む。チャネル構造はメモリスタックを貫通して垂直に延びる。チャネルローカルコンタクトは、チャネル構造の上にありそれと接触している。スリット構造はメモリスタックを貫通して垂直に延びる。階段ローカルコンタクトは、メモリスタックの端の階段構造において導電層のうちの1つの上にありそれと接触している。チャネルローカルコンタクトの上端、スリット構造の上端、および階段ローカルコンタクトの上端は、互いに同一平面にある。

Description

本開示の実施形態は、3次元(3D)メモリデバイスおよびその製造方法に関する。
平面メモリセルは、プロセス技術、回路設計、プログラミングアルゴリズム、および製造プロセスを改善することによって、より小さいサイズへと縮小される。しかしながら、メモリセルのフィーチャサイズが下限に近づくにつれて、プレーナプロセスおよび製造技法はより困難かつ高価になる。結果として、平面メモリセルのメモリ密度は上限に近づく。
3Dメモリアーキテクチャは、平面メモリセルにおける密度の限界に対処することができる。3Dメモリアーキテクチャは、メモリアレイと、メモリアレイへの、およびメモリアレイからの信号を制御するための周辺デバイスとを含む。
3Dメモリデバイスの実施形態およびそれを形成するための方法が、本明細書において開示される。
一例では、3Dメモリデバイスは、基板と、メモリスタックと、チャネル構造と、チャネルローカルコンタクトと、スリット構造と、階段ローカルコンタクトとを含む。メモリスタックは、基板の上に交互配置された導電層および誘電層を含む。チャネル構造は、メモリスタックを貫通して垂直に延びる。チャネルローカルコンタクトは、チャネル構造の上にありそれと接触している。スリット構造は、メモリスタックを貫通して垂直に延びる。階段ローカルコンタクトは、メモリスタックの端の階段構造において導電層のうちの1つの上にありそれと接触している。チャネルローカルコンタクトの上端、スリット構造の上端、および階段ローカルコンタクトの上端は、互いに同一平面にある。
別の例では、3Dメモリデバイスは、基板と、メモリスタックと、チャネル構造と、チャネルローカルコンタクトと、スリット構造と、周辺ローカルコンタクトとを含む。メモリスタックは、基板の上に交互配置された導電層および誘電層を含む。チャネル構造は、メモリスタックを貫通して垂直に延びる。チャネルローカルコンタクトは、チャネル構造の上にありそれと接触している。スリット構造は、メモリスタックを貫通して垂直に延びる。周辺ローカルコンタクトは、メモリスタックの外側の基板へと垂直に延びる。チャネルローカルコンタクトの上端、スリット構造の上端、および周辺ローカルコンタクトの上端は、互いに同一平面にある。
さらに別の例では、3Dメモリデバイスを形成するための方法が開示される。交互配置された犠牲層および誘電層を含む誘電体スタックを貫通して垂直に延びるチャネル構造が、基板の上に形成される。ローカル誘電層が誘電体スタックに接して形成される。ローカル誘電層および誘電体スタックを貫通して垂直に延びるスリット開口が形成される。交互配置された導電層および誘電層を含むメモリスタックが、スリット開口を通じて、犠牲層を導電層で置換することによって形成される。第1のソースコンタクト部分がスリット開口において形成される。チャネル構造を露出するためのローカル誘電層を貫通するチャネルローカルコンタクト開口、およびメモリスタックの端の階段構造において導電層のうちの1つを露出するためのローカル誘電層を貫通する階段ローカルコンタクト開口が、同時に形成される。チャネルローカルコンタクト開口の中のチャネルローカルコンタクト、スリット開口の中の第1のソースコンタクト部分の上の第2のソースコンタクト部分、および階段ローカルコンタクト開口の中の階段ローカルコンタクトが、同時に形成される。
本明細書に組み込まれ、その一部を形成する添付の図面は、本開示の実施形態を例示し、説明と一緒に、本開示の原理を説明すること、および当業者が本開示を実施して使用するのを可能にする役割をさらに果たす。
3Dメモリデバイスの断面の図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスの断面の図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す図である。 本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための方法のフローチャートである。
本開示の実施形態は、添付の図面を参照して説明される。
特定の構成および配置が論じられるが、これは説明のためだけに行われることが理解されるべきである。当業者は、本開示の趣旨と範囲から逸脱することなく、他の構成および配置が使用され得ることを認識するであろう。本開示は様々な他の用途においても利用され得ることが、当業者には明らかになるであろう。
「一実施形態」、「実施形態」、「例示的な実施形態」、「いくつかの実施形態」などへの本明細書における言及は、説明される実施形態が特定の特徴、構造、または特性を含み得ること、しかし、1つ1つの実施形態が必ずしもその特定の特徴、構造、または特性を含まなくてもよいことを示していることに留意されたい。その上、そのような語句は同じ実施形態を必ずしも指さない。さらに、実施形態に関連して特定の特徴、構造、または特性が説明されるとき、明示的に説明されるかどうかにかかわらず、そのような特徴、構造、または特性を他の実施形態に関連してもたらすことは、当業者の知識の範囲内であろう。
一般に、用語は、文脈における使用法から少なくとも一部理解され得る。たとえば、本明細書で使用される「1つまたは複数の」という用語は、文脈に少なくとも一部応じて、単数の意味で任意の特徴、構造、もしくは特性を記述するために使用されてもよく、または、複数の意味で特徴、構造、もしくは特性の組合せを記述するために使用されてもよい。同様に、「a」、「an」、または「the」などの用語は、文脈に少なくとも一部応じて、単数の使用法または複数の使用法を伝えるものとして理解され得る。加えて、「に基づいて(based on)」という用語は、必ずしも要因の排他的な集合を伝えることが意図されるものとして理解されなくてもよく、代わりに、やはり文脈に少なくとも一部基づいて、必ずしも明確に記述されない追加の要因の存在を許容してもよい。
本開示における「on(接している)」、「above(上にある)」、および「over(覆っている)」の意味は、「on」が何かに「directly on(直接接している)」ことを意味するだけではなく、中間フィーチャまたはそれらの間の層を伴って何かに「on(接している)」という意味も含み、また、「above」または「over」が何かの「上にある」または「覆っている」ことを意味するだけではなく、中間フィーチャまたはそれらの間の層を伴わずに何かの「上にある」またはそれを「覆っている」(すなわち、何かに直接接している)という意味も含み得るように、最も広い意味で解釈されるべきであることが、容易に理解されるはずである。
さらに、説明を簡単にするために、図面において示されるような別の要素またはフィーチャに対するある要素またはフィーチャの関係を記述するために、「beneath(下にある)」、「below(下にある)」、「lower(より下の)」、「above(上にある)」、「upper(より上の)」などの空間的に相対的な用語が、本明細書において使用され得る。空間的に相対的な用語は、図に示される方向に加えて、使用されているまたは動作しているデバイスの様々な方向を包含することが意図される。装置は、別の方向を向いている(90度回転されている、または他の方向を向いている)ことがあり、本明細書において使用される空間的に相対的な記述子は同様に、それに従って解釈されることがある。
本明細書において使用される場合、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体がパターニングされ得る。基板の上に追加される材料は、パターニングされてもよく、またはパターニングされないままであってもよい。さらに、基板は、シリコン、ゲルマニウム、ガリウムヒ素、リン化インジウムなどの、広範な半導体材料を含み得る。代替的に、基板は、ガラス、プラスチック、またはサファイアウェハなどの、非導電性材料から作られ得る。
本明細書において使用される場合、「層」という用語は、ある厚みを伴う領域を含む材料部分を指す。層は、背後にある構造もしくはスタックする構造の全体にわたって延びていてもよく、または、背後にある構造もしくはスタックする構造の範囲より小さい範囲を有してもよい。さらに、層は、一様なまたは非一様な連続的構造の厚みより薄い厚みを有する、その連続的構造の領域であり得る。たとえば、層は、連続的構造の上面と下面の間の、またはそれらにおける、水平面の任意のペアの間に位置し得る。層は、水平に、垂直に、および/または先細りの表面に沿って延びていてもよい。基板は、層であってもよく、その中に1つまたは複数の層を含んでいてもよく、ならびに/または、それに接して、その上に、および/もしくはその下に1つまたは複数の層を有していてもよい。層は複数の層を含み得る。たとえば、相互接続層は、(相互接続線および/または垂直相互接続アクセス(ビア)コンタクトが形成される)1つまたは複数の導体およびコンタクト層と、1つまたは複数の誘電層とを含み得る。
本明細書において使用される場合、「名目の/名目的に」という用語は、所望の値より上および/または下の値の範囲と一緒に、製品またはプロセスの設計段階の間に設定される、ある構成要素もしくはプロセス動作のための特性またはパラメータの、所望の値または目標値を指す。値の範囲は、製造プロセスまたは公差のわずかな変動によるものであり得る。本明細書において使用される場合、「約」という用語は、対象の半導体デバイスと関連付けられる特定の技術ノードに基づいて変化し得る所与の量の値を示す。特定の技術ノードに基づいて、「約」という用語は、所与の量の値を、たとえばその値の10~30%(たとえば、値の±10%、±20%、または±30%)以内で変動するものとして示し得る。
本明細書において使用される場合、「3Dメモリデバイス」という用語は、横方向の基板に接してメモリセルトランジスタの垂直方向のストリング(NANDメモリストリングなどの、「メモリストリング」と本明細書で呼ばれる)を伴い、それによりメモリストリングが基板に関して垂直方向に延びているような半導体デバイスを指す。本明細書において使用される場合、「垂直/垂直に」という用語は、基板の横方向の面に対して名目的に直角であることを意味する。
3D NANDメモリデバイスなどの一部の3Dメモリデバイスでは、メモリアレイを複数のブロックへと分離すること、ゲート置換プロセスの間にエッチャントおよび化学的なプリカーサのための接近経路を提供すること、ならびにメモリアレイのソースに電気的な接続を提供することを含む様々な機能のために、スリット構造が使用される。図1は、3Dメモリデバイス100の断面を示す。図1に示されるように、3Dメモリデバイス100は、基板102の上にメモリスタック104を含む。3Dメモリデバイス100はまた、メモリスタック104を貫通して垂直に各々延びる、チャネル構造106およびスリット構造108のアレイを含む。各チャネル構造106はNANDメモリストリングとして機能し、スリット構造108はNANDメモリストリングのソース、たとえばチャネル構造106のアレイのアレイ共通ソース(ACS)への電気的な接続として機能する。
3Dメモリデバイス100はさらに、メモリスタック104の上のチャネル構造106およびスリット構造108のための相互接続構造を含み、これは、メモリスタック104に接したローカルコンタクト層110、およびローカルコンタクト層110に接した相互接続層112を含む。3Dメモリデバイス100における構成要素の空間的な関係を示すために、x軸、y軸、およびz軸が図1に含まれていることに留意されたい。基板102は、ウェハの前側に接した前面、およびウェハの前側とは反対の裏側に接した後面という、x-y平面において横方向に延びる2つの横方向の面を含む。x方向およびy方向は、ウェハ平面における2つの直交する方向である。x方向はワード線方向であり、y方向はビット線方向である。z軸はx軸とy軸の両方に直角である。本明細書において使用される場合、1つの構成要素(たとえば、層またはデバイス)が半導体デバイス(たとえば、3Dメモリデバイス100)の別の構成要素(たとえば、層またはデバイス)「に接している」、「の上にある」、または「の下にある」かどうかは、基板がz方向において半導体デバイスの最も低い平面に位置しているとき、z方向(x-y平面に直角な垂直方向)において半導体デバイス(たとえば、基板102)の基板に対して相対的に決定される。空間的な関係を記述するための同じ表記法が、本開示全体に適用される。
ローカルコンタクト層110は、チャネル構造106と接触しているチャネルローカルコンタクト116およびスリット構造108と接触しているスリットローカルコンタクト118を含む、メモリスタック104の中の構造と直接接触しているローカルコンタクト(「C1」としても知られている)を含む。いくつかの実施形態では、3Dメモリデバイス100は、メモリスタック104の端における階段構造114の中のワード線のそれぞれ1つと各々接触している階段ローカルコンタクト124、ならびにメモリスタック104の外側の基板102と接触している周辺ローカルコンタクト126などの、追加のローカルコンタクトを含む。相互接続層112は、それぞれチャネルローカルコンタクト116と接触しているチャネルコンタクト120、それぞれスリットローカルコンタクト118と接触しているスリットコンタクト122、階段ローカルコンタクト124と接触している階段コンタクト128、それぞれ周辺ローカルコンタクト126と接触している周辺コンタクト130などの、ローカルコンタクト層110と接触しているコンタクト(「V0」としても知られている)を含む。
3Dメモリデバイス100において、チャネルローカルコンタクト116およびスリットローカルコンタクト118は、異なる深さ、異なる臨界寸法を有し、異なる材料に着地する(たとえば、チャネルローカルコンタクト116はチャネル構造106のポリシリコンプラグに着地するが、スリットローカルコンタクト118はスリット構造108のタングステンソースコンタクトに着地する)を有し、これはローカルコンタクト層110の製造をより困難にする。その上、異なるタイプのローカルコンタクト(たとえば、チャネルローカルコンタクト116、スリットローカルコンタクト118、階段ローカルコンタクト124、および周辺ローカルコンタクト126)の上端は互いに同一平面にない(すなわち、z方向に揃っていない)ので、相互接続層112の中の異なるタイプのコンタクト(たとえば、チャネルコンタクト120、スリットコンタクト122、階段コンタクト128、および周辺コンタクト130)は異なる深さを有し、これはさらに、相互接続構造の製造を複雑にする。
本開示による様々な実施形態は、改善された相互接続構造を伴う3Dメモリデバイスを提供する。スリットローカルコンタクトを取り除き、スリットソースコンタクトならびに様々なタイプのローカルコンタクト、たとえば、チャネルローカルコンタクト、階段ローカルコンタクト、および/または周辺ローカルコンタクトの金属堆積ステップを統合することによって、歩留まりを上げながら、プロセスサイクル時間および製造コストを減らすことができる。その上、スリットソースコンタクトの上端および様々なタイプのローカルコンタクトは互いに同一平面にあり得るので、相互接続構造の中の異なるタイプのV0コンタクトは、同じ深さを有し同じタイプの材料に着地することができ、製造プロセスをより簡単にもする。
図2は、本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイス200の断面を示す。3Dメモリデバイス200は基板202を含んでもよく、これは、シリコン(たとえば、単一の結晶シリコン)、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、ゲルマニウム(Ge)、シリコンオンインシュレータ(SOI)、ゲルマニウムオンイシュレータ(GOI)、または任意の他の適切な材料を含んでもよい。いくつかの実施形態では、基板202は薄型化された基板(たとえば、半導体層)であり、これは、研磨、エッチング、化学機械研磨(CMP)、またはこれらの任意の組合せによって薄型化された。
3Dメモリデバイス200は、モノリシック3Dメモリデバイスの一部であり得る。「モノリシック」という用語は、3Dメモリデバイスの構成要素(たとえば、周辺デバイスおよびメモリアレイデバイス)が単一の基板に接して形成されることを意味する。モノリシック3Dメモリデバイスでは、製造において、周辺デバイスのプロセスおよびメモリアレイデバイスのプロセスが絡まっていることによるさらなる制約に遭遇する。たとえば、メモリアレイデバイス(たとえば、NANDメモリストリング)の製造は、同じ基板に接して形成された、または形成されるべき周辺デバイスと関連付けられる、サーマルバジェットにより制約される。
加えて、3Dメモリデバイス200は、構成要素(たとえば、周辺デバイスおよびメモリアレイデバイス)が異なる基板に接して別々に形成され、次いでたとえば面と向かって接着され得る、非モノリシック3Dメモリデバイスの一部であり得る。いくつかの実施形態では、メモリアレイデバイス基板(たとえば、基板202)は、接着された非モノリシック3Dメモリデバイスの基板として残り、周辺デバイス(たとえば、図示されていない、ページバッファ、デコーダ、およびラッチなどの、3Dメモリデバイス200の動作を促進するために使用される任意の適切なデジタル信号、アナログ信号、および/または混合信号周辺回路を含む)は、ハイブリッドボンディングのために裏返されてメモリアレイデバイス(たとえば、NANDメモリストリング)の方を向く。いくつかの実施形態では、メモリアレイデバイス基板(たとえば、基板202)は、ハイブリッドボンディングのために裏返されて周辺デバイス(図示せず)の方を向くので、接着された非モノリシック3Dメモリデバイスでは、メモリアレイデバイスは周辺デバイスの上にあることが理解される。メモリアレイデバイス基板(たとえば、基板202)は薄型化された基板(これは接着された非モノリシック3Dメモリデバイスの基板ではない)であってもよく、非モノリシック3Dメモリデバイスのバックエンドオブライン(BEOL:back-end-of-line)相互接続は、薄型化されたメモリアレイデバイス基板の裏側に形成され得る。
いくつかの実施形態では、3Dメモリデバイス200は、基板202の上に垂直に各々延びているNANDメモリストリングのアレイの形でメモリセルが提供される、NANDフラッシュメモリデバイスである。メモリアレイデバイスは、NANDメモリストリングのアレイとして機能するチャネル構造204のアレイを含み得る。図2に示されるように、チャネル構造204は、導電層206および誘電層208を各々含む複数のペアを貫通して垂直に延び得る。交互配置された導電層206および誘電層208はメモリスタック210の一部である。メモリスタック210の中の導電層206および誘電層208のペアの数(たとえば、32、64、96、または128)は、3Dメモリデバイス200の中のメモリセルの数を決定する。いくつかの実施形態では、メモリスタック210はマルチデッキアーキテクチャ(図示せず)を有してもよく、これは互いにスタックされた複数のメモリデッキを含むことが理解される。各メモリデッキの中の導電層206および誘電層208のペアの数は、同じであっても、または異なっていてもよい。
メモリスタック210は、複数の交互配置された導電層206および誘電層208を含み得る。メモリスタック210の中の導電層206および誘電層208は、垂直方向に交互に現れ得る。言い換えると、メモリスタック210の一番上または一番下にあるものを除くと、各導電層206は、両側で2つの誘電層208に隣接していてもよく、各誘電層208は、両側で2つの導電層206に隣接していてもよい。導電層206は、限定はされないが、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、ポリシリコン、ドープされたシリコン、シリサイド、またはこれらの任意の組合せを含む、導電性材料を含み得る。各導電層206は、チャネル構造204を囲むゲート電極(ゲート線)であってもよく、ワード線として横に延びていてもよい。誘電層208は、限定はされないが、酸化シリコン、窒化シリコン、シリコン酸窒化物、またはこれらの任意の組合せを含む、誘電材料を含み得る。いくつかの実施形態によれば、ISSG(in-situ steam generation)酸化シリコンなどの酸化シリコン膜が、基板202(たとえば、シリコン基板)とメモリスタック210との間に形成され得ることが理解される。
図2に示されるように、チャネル構造204は、半導体層(たとえば、半導体チャネル212としての)および複合誘電層(たとえば、メモリフィルム214としての)で満たされたチャネルホールを含み得る。いくつかの実施形態では、半導体チャネル212は、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどのシリコンを含む。いくつかの実施形態では、メモリフィルム214は、トンネリング層、ストレージ層(「チャージトラップ層」としても知られている)、およびブロッキング層を含む、複合層である。チャネル構造204の残りの空間は、酸化シリコンおよび/またはエアギャップなどの誘電材料を含むキャッピング層で、部分的にまたは完全に満たされ得る。チャネル構造204は円柱の形状(たとえば、柱の形状)を有し得る。いくつかの実施形態によれば、メモリフィルム214のキャッピング層、半導体チャネル212、トンネリング層、ストレージ層、およびブロッキング層は、この順序で柱の外側表面に向かって中心から放射状に並べられる。トンネリング層は、酸化シリコン、シリコン酸窒化物、またはこれらの任意の組合せを含み得る。ストレージ層は、窒化シリコン、シリコン酸窒化物、シリコン、またはこれらの任意の組合せを含み得る。ブロッキング層は、酸化シリコン、シリコン酸窒化物、高比誘電率(high-k)誘電体、またはこれらの任意の組合せを含み得る。一例では、メモリフィルム214は、酸化シリコン/シリコン酸窒化物/酸化シリコン(ONO)の複合層を含み得る。
いくつかの実施形態では、チャネル構造204はさらに、チャネル構造204の底部(たとえば、下端)において半導体プラグ218を含む。本明細書において使用される場合、基板202が3Dメモリデバイス200の最も低い平面に配置されるとき、構成要素の「上端」(たとえば、チャネル構造204)は、y方向において基板202からより遠い端部であり、構成要素の「下端」(たとえば、チャネル構造204)は、y方向において基板202により近い端部である。半導体プラグ218は、任意の適切な方向において基板202からエピタキシャル成長される、シリコンなどの半導体材料を含み得る。いくつかの実施形態では、半導体プラグ218は、基板202と同じ材料である単結晶シリコンを含むことが理解される。言い換えると、半導体プラグ218は、基板202と同じ材料であるエピタキシャル成長された半導体層を含み得る。半導体プラグ218は、半導体チャネル212の下端の下にありそれと接触していてもよい。半導体プラグ218は、NANDメモリストリングのソース選択ゲートによって制御されるチャネルとして機能することができる。
いくつかの実施形態では、チャネル構造204はさらに、チャネル構造204の頂部における(たとえば、上端にある)チャネルプラグ220を含む。チャネルプラグ220は、半導体チャネル212の上端の上にありそれと接触していてもよい。チャネルプラグ220は半導体材料(たとえば、ポリシリコン)を含み得る。3Dメモリデバイス200の製造の間にチャネル構造204の上端を覆うことによって、チャネルプラグ220は、酸化シリコンおよび窒化シリコンなどの、チャネル構造204に満たされている誘電体のエッチングを防ぐための、エッチストップ層として機能し得る。いくつかの実施形態によれば、チャネルプラグ220は、NANDメモリストリングのドレインとして機能し得る。
図2に示されるように、3Dメモリデバイス200はまた、相互接続構造の一部としてメモリスタック210に接してローカルコンタクト層222を含む。いくつかの実施形態では、ローカルコンタクト層222は、チャネル構造204(すなわち、チャネルプラグ220)の上端の上に形成される。ローカルコンタクト層222は、横方向の相互接続線およびビアコンタクトを含む、複数の相互接続(本明細書では「コンタクト」とも呼ばれる)を含み得る。本明細書において使用される場合、「相互接続」という用語は、ミドルエンドオブライン(MEOL:middle-end-of-line)相互接続およびバックエンドオブライン(BEOL:back-end-of-line)相互接続などの、任意の適切なタイプの相互接続を広く含み得る。ローカルコンタクト層222の中の相互接続は、本明細書では「ローカルコンタクト」(「C1」としても知られている)と呼ばれ、これは、メモリスタック210の中の構造と直接接触している。いくつかの実施形態では、ローカルコンタクト層222は、チャネル構造204の上端(たとえば、チャネルプラグ220)の上にありそれと接触しているチャネルローカルコンタクト224を含む。
ローカルコンタクト層222はさらに、ローカルコンタクト(たとえば、チャネルローカルコンタクト224)が形成できる1つまたは複数の層間誘電(ILD)層(「金属間誘電(IMD)層」としても知られている)を含み得る。いくつかの実施形態によれば、ローカルコンタクト層222は、1つまたは複数のローカル誘電層の中のチャネルローカルコンタクト224を含む。ローカルコンタクト層222の中のチャネルローカルコンタクト224は、限定はされないが、Cu、Al、W、Co、シリサイド、またはこれらの任意の組合せを含む、導電性材料を含み得る。一例では、チャネルローカルコンタクト224はタングステンでできている。ローカルコンタクト層222の中のILD層は、限定はされないが、酸化シリコン、窒化シリコン、シリコン酸窒化物、低比誘電率(low-k)誘電体、またはこれらの任意の組合せを含む、誘電材料を含み得る。
図2に示されるように、3Dメモリデバイス200はさらに、ローカルコンタクト層222を貫通して垂直に延びているスリット構造226と、メモリスタック210の交互配置された導電層206および誘電層208とを含む。スリット構造226はまた、メモリスタック210を複数のブロックへと分離するために、(たとえば、図2のビット線方向/y方向において)横に延び得る。スリット構造226は、導電層206を形成するための化学的なプリカーサに対する接近経路を提供する、スリット開口を含み得る。いくつかの実施形態によれば、スリット構造226は、ACSとの電気接続の抵抗を減らすために、基板202において下端にドープされた領域(図示せず)も含む。
いくつかの実施形態では、スリット構造226はさらに、ソース線(図示せず)などの相互接続構造にNANDメモリストリングのACSを電気的に接続するための、ソースコンタクト228を含む。いくつかの実施形態では、ソースコンタクト228は壁の形状のコンタクトを含む。図2に示されるように、ソースコンタクト228は、スリット構造226の底部に(たとえば、ドープされた領域に接触して)下側ソースコンタクト部分228-1と、スリット構造226の頂部に上側ソースコンタクト部分228-2とを含み得る。いくつかの実施形態では、上側ソースコンタクト部分228-2は、下側ソースコンタクト部分228-1の上にありそれと接触しており、下側ソースコンタクト部分228-1と異なる材料を有する。下側ソースコンタクト部分228-1は、ドープされた領域との接触抵抗を減らすために、ドープされたポリシリコンなどの導電性材料を含み得る。上側ソースコンタクト部分228-2は、限定はされないが、W、Co、Cu、Al、またはこれらの任意の組合せを含む金属などの、導電性材料を含み得る。一例では、上側ソースコンタクト部分228-2はタングステンを含み得る。以下で詳細に説明されるように、スリット構造226のチャネルローカルコンタクト224および上側ソースコンタクト部分228-2の導電性材料は同じプロセスで堆積され得るので、上側ソースコンタクト部分228-2およびチャネルローカルコンタクト224は、同じ金属などの同じ導電性材料を含む。一例では、金属はタングステンを含み得る。
スリット構造226のソースコンタクト228をメモリスタック210の導電層206から電気的に絶縁するために、スリット構造226はさらに、スリット開口の側壁に沿って、かつスリット開口の側壁に隣接するエッチバック凹部の中に配設される、スペーサ230を含み得る。すなわち、スペーサ230は、メモリスタック210のソースコンタクト228と導電層206との間に横方向に形成され得る。スペーサ230は、酸化シリコン、窒化シリコン、シリコン酸窒化物、またはこれらの任意の組合せなどの、誘電材料の1つまたは複数の層を含み得る。
図2に示されるように、横方向(x方向および/またはy方向)における少なくとも1つの端部に接して、メモリスタック210は階段構造240を含み得る。階段構造240において、垂直方向(図2のz方向)に沿った導体/誘電層ペアの対応する端部は、ワード線のファンアウトのために横方向に千鳥状にされ得る。階段構造240の各「レベル」は、1つまたは複数の導体/誘電層ペアを含んでもよく、これらの各々が、導電層206(ワード線としてx方向に横に延びる)および誘電層208のペアを含む。いくつかの実施形態では、階段構造240の各レベルにおける最上位層は、垂直方向における相互接続(たとえば、ワード線のファンアウト)のための導電層206のうちの1つである。いくつかの実施形態では、階段構造240の2つごとの隣接レベルが、垂直方向において名目上同じ距離、および横方向において名目上同じ距離だけオフセットされる。したがって、各オフセットは、垂直方向における3Dメモリデバイス200のワード線との相互接続のための「ランディングエリア」を形成することができる。
いくつかの実施形態では、3Dメモリデバイス200はさらに、各々がメモリスタック210の階段構造240における導電層(ワード線)206のそれぞれ1つの上にありそれと接触している、階段ローカルコンタクト242(「ワード線ローカルコンタクト」としても知られている)を含む。各階段ローカルコンタクト242は、ローカルコンタクト層222の中のILD層を貫通して、さらに階段構造240を覆うILD層を貫通して垂直に延び、メモリスタック210の端のそれぞれの導電層(ワード線)206に達し得る。階段ローカルコンタクト242は、限定はされないが、W、Co、Cu、Al、またはこれらの任意の組合せを含む金属などの、導電性材料を含み得る。一例では、階段ローカルコンタクト242はタングステンを含み得る。
いくつかの実施形態では、3Dメモリデバイス200はさらに、メモリスタック210の外側の基板202へと垂直に各々延びる、周辺ローカルコンタクト244を含む。各周辺ローカルコンタクト244は、メモリスタック210の外側にある周辺領域においてローカルコンタクト層222から基板202へと垂直に延びるように、メモリスタック210の深さより大きい深さを有し得る。いくつかの実施形態では、周辺ローカルコンタクト244は、基板202の中の周辺回路(図示せず)もしくはドープされた領域(PウェルまたはNウェル、図示せず)へ、および/またはそれらから電気信号を伝送するために、それらの周辺回路またはドープされた領域の上にありそれらと接触している。いくつかの実施形態では、周辺回路は、3Dメモリデバイス200の動作を促進するために使用される、任意の適切なデジタル、アナログ、および/または混合信号周辺回路を含む。たとえば、周辺回路は、ページバッファ、デコーダ(たとえば、行デコーダおよび列デコーダ)、センスアンプ、ドライバ、チャージポンプ、電流もしくは電圧基準、または回路の任意の能動構成要素もしくは受動構成要素(たとえば、トランジスタ、ダイオード、抵抗、またはキャパシタなど)のうちの1つまたは複数を含み得る。周辺ローカルコンタクト244は、限定はされないが、W、Co、Cu、Al、またはこれらの任意の組合せを含む金属などの、導電性材料を含み得る。一例では、周辺ローカルコンタクト244はタングステンを含み得る。
いくつかの実施形態では、3Dメモリデバイス200はさらに、異なる誘電材料を有する交互配置された第1の誘電層および第2の誘電層を含む、バリア構造246を含む。たとえば、第1および第2の誘電層の誘電材料は、それぞれ酸化シリコンおよび窒化シリコンであり得る。いくつかの実施形態では、バリア構造246の第1および第2の誘電層は、以下で詳しく説明されるようなゲート置換プロセスの後で最終的にメモリスタック210になる誘電体スタックを形成するものと同じである。いくつかの実施形態では、周辺ローカルコンタクト244のうちの1つまたは複数は、バリア構造246を貫通して延びる。すなわち、バリア構造246は、他の近くの構造から周辺ローカルコンタクト244を分離するバリアとして、周辺ローカルコンタクト244を囲むことができる。いくつかの実施形態では、周辺ローカルコンタクト244は、壁の形状のコンタクトとは対照的に、ビアコンタクトを含む。周辺ローカルコンタクト244(囲まれたバリア構造246を伴うまたは伴わない)は図2に示されるようにメモリスタック210の外側の周辺領域にあるが、いくつかの実施形態では、1つまたは複数の周辺ローカルコンタクト244(囲まれたバリア構造246を伴うまたは伴わない)はメモリスタック210内に形成されてもよいことが理解され、これは「スルーアレイコンタクト」(TAC)としても知られている。
スリット構造108の上にありそれと接触しているローカルコンタクト層110の中にスリットローカルコンタクト118を含む、図1の3Dメモリデバイス100とは異なり、図2の3Dメモリデバイス200は、ローカルコンタクト層222の中にスリットローカルコンタクトを含まない。代わりに、スリット構造226(およびその中の上側ソースコンタクト部分228-2)は、ローカルコンタクト層222を貫通してさらに垂直に延び得る。スリットローカルコンタクトを連続的な壁タイプのコンタクト(たとえば、ソースコンタクト228)で置換することによって、ローカルコンタクト層222の中のローカルコンタクトに対する重畳制御を簡略化することができ、相互接続構造の抵抗を減らすことができる。その上、スリット構造226の上端(およびその中の上側のソースコンタクト部分228-2)は、チャネルローカルコンタクト224、階段ローカルコンタクト242、および周辺ローカルコンタクト244を含むローカルコンタクトの各々の上端と同一平面にあってもよく、たとえば以下で詳しく説明されるものと同じ平坦化プロセスの後で同じ平面にあってもよい。すなわち、いくつかの実施形態によれば、チャネルローカルコンタクト224の上端、スリット構造226の上端、階段ローカルコンタクト242の上端、および周辺ローカルコンタクト244の上端は、互いに同一平面上にある。いくつかの実施形態では、上側ソースコンタクト部分228-2、チャネルローカルコンタクト224、階段ローカルコンタクト242、および周辺ローカルコンタクト244は、たとえば詳しく以下で説明されるのと同じ堆積プロセスにより堆積される、同じ導電性材料を含む。たとえば、上側ソースコンタクト部分228-2、チャネルローカルコンタクト224、階段ローカルコンタクト242、および周辺ローカルコンタクト244は、タングステンなどの同じ金属を含む。したがって、図2の3Dメモリデバイス200のローカルコンタクトの設計は、製造プロセスを簡略化し、コストおよびプロセスサイクルを減らすことができる。
図2に示されるように、3Dメモリデバイス200はまた、相互接続構造の一部として、ローカルコンタクト層222に接して相互接続層234を含む。相互接続層234は、各々がチャネルローカルコンタクト224のそれぞれ1つの上端の上にありそれと接触しているチャネルコンタクト236、およびスリット構造226の上端(たとえば、その中の上側ソースコンタクト部分228-2)の上にありそれと接触しているスリットコンタクト238などの、複数のビアコンタクト(「V0」としても知られている)を含み得る。いくつかの実施形態では、相互接続層234はさらに、各々が階段ローカルコンタクト242のそれぞれ1つの上端の上にありそれと接触している階段コンタクト248(「ワード線コンタクト」としても知られている)、および各々が周辺ローカルコンタクト244のそれぞれ1つの上端の上にありそれと接触している周辺コンタクト250を含む。相互接続層234はさらに、チャネルコンタクト236、スリットコンタクト238、階段コンタクト248、および周辺コンタクト250が形成できる1つまたは複数のILD層を含み得る。すなわち、相互接続層234は、1つまたは複数の第1の誘電層において、チャネルコンタクト236、スリットコンタクト238、階段コンタクト248、および周辺コンタクト250を含み得る。相互接続層234の中のチャネルコンタクト236、スリットコンタクト238、階段コンタクト248、および周辺コンタクト250は、限定はされないが、Cu、Al、W、Co、シリサイド、またはこれらの任意の組合せを含む、導電性材料を含み得る。相互接続層234の中のILD層は、限定はされないが、酸化シリコン、窒化シリコン、シリコン酸窒化物、low-k誘電体、またはこれらの任意の組合せを含む、誘電材料を含み得る。
相互接続層112の中のコンタクトが異なる深さを有する図1の3Dメモリデバイス100とは異なり、図2の3Dメモリデバイス200の相互接続層234の中の様々なタイプのコンタクト(たとえば、チャネルコンタクト236、スリットコンタクト238、階段コンタクト248、および周辺コンタクト250)は同じ深さを有する。いくつかの実施形態では、チャネルコンタクト236の上端、スリットコンタクト238の上端、階段コンタクト248の上端、および周辺コンタクト250の上端は互いに同一平面にあり、チャネルコンタクト236の下端、スリットコンタクト238の下端、階段コンタクト248の下端、および周辺コンタクト250の下端も互いに同一平面にある。結果として、相互接続層234を形成するための製造プロセスはより簡単であり得る。以下で詳しく説明されるように、相互接続層234の中に様々なタイプのコンタクト(たとえば、チャネルコンタクト236、スリットコンタクト238、階段コンタクト248、および周辺コンタクト250)を形成するために、同じ堆積および平坦化プロセスが使用され得る。したがって、チャネルコンタクト236、スリットコンタクト238、階段コンタクト248、および周辺コンタクト250は、タングステンなどの同じ導電性材料を有し得る。
3Dメモリデバイス200の中の相互接続層の数は、図2の例により限定されないことを理解されたい。追加の相互接続層が、3Dメモリデバイス200の所望の相互接続構造を提供するために形成され得る。それでも、ローカルコンタクト層222および相互接続層234は、チャネル構造204、スリット構造226、導電層(ワード線)206、および基板202の中の周辺回路/ドープされた領域(図示せず)から、ならびに/またはそれらへ電気信号を伝送するための相互接続構造を形成する。
図3A~図3Hは、本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための製造プロセスを示す。図4は、本開示のいくつかの実施形態による、相互接続構造を伴う例示的な3Dメモリデバイスを形成するための方法400のフローチャートを示す。図3A~図3Hおよび図4に示される3Dメモリデバイスの例は、図2に示される3Dメモリデバイス200を含む。図3A~図3Hおよび図4は一緒に説明される。方法400に示される動作は網羅的ではなく、示される動作のいずれかの前、後、またはそれらの間にも他の動作が実行され得ることが理解される。さらに、動作の一部は、同時に、または図4に示されるものとは異なる順序で実行されてもよい。
図4を参照すると、方法400は動作402において開始し、動作402において、基板の上にある交互配置された犠牲層および誘電層を含む誘電体スタック。基板はシリコン基板であり得る。図3Aを参照すると、犠牲層306および誘電層308の複数のペア(誘電層/犠牲層ペア)を含む誘電体スタック304は、シリコン基板302の上に形成される。いくつかの実施形態によれば、誘電体スタック304は、交互配置された犠牲層306および誘電層308を含む。誘電層308および犠牲層306は、誘電体スタック304を形成するためにシリコン基板302に交互に堆積され得る。いくつかの実施形態によれば、各誘電層308は酸化シリコンの層を含み、各犠牲層306は窒化シリコンの層を含む。すなわち、複数の窒化シリコン層および複数の酸化シリコン層は、誘電体スタック304を形成するためにシリコン基板302の上に交互に堆積され得る。いくつかの実施形態では、交互配置された第1の誘電層および第2の誘電層を含むバリア構造307は、シリコン基板302の上で誘電体スタック304の外側に形成される。バリア構造307の第1および第2の誘電層はそれぞれ、誘電体スタック304の犠牲層306および誘電層308と同じ材料を含み得る。誘電体スタック304およびバリア構造307は、限定はされないが、化学蒸着(CVD)、物理蒸着(PVD)、原子層堆積(ALD)、またはこれらの任意の組合せを含む、1つまたは複数の薄膜堆積プロセスによって一緒に形成され得る。
図3Aに示されるように、階段構造305は誘電体スタック304の端に形成され得る。階段構造305は、シリコン基板302に向かって誘電体スタック304の誘電/犠牲層ペアのために複数のいわゆる「トリムエッチ」サイクルを実行することによって形成され得る。誘電体スタック304の誘電/犠牲層ペアのための繰り返されたトリムエッチサイクルにより、図3Aに示されるように、誘電体スタック304は、傾いた端部と、一番下のものより短い一番上の誘電/犠牲層ペアとを有し得る。
図4に示されるように、方法400は、誘電体スタックを貫通して垂直に延びるチャネル構造が形成される動作404に進む。いくつかの実施形態では、チャネル構造を形成するために、誘電体スタックを貫通して垂直に延びるチャネルホールが形成され、メモリフィルムおよび半導体チャネルが続いて、チャネルホールの側壁を覆って形成され、チャネルプラグが、半導体チャネルの上にそれと接触して形成される。
図3Aに示されるように、チャネルホールは、誘電体スタック304を貫通して垂直に延びる開口である。いくつかの実施形態では、各開口がより後のプロセスにおいて個々のチャネル構造310を成長させるための位置になるように、複数の開口が誘電体スタック304を貫通して形成される。いくつかの実施形態では、チャネル構造310のチャネルホールを形成するための製造プロセスは、ディープイオン反応エッチング(DRIE)などのウェットエッチングおよび/またはドライエッチングを含む。いくつかの実施形態では、チャネル構造310のチャネルホールはさらに、シリコン基板302の頂部を貫通して延びる。誘電体スタック304を貫通するエッチングプロセスは、シリコン基板302の上面において止まらなくてもよく、続けてシリコン基板302の一部をエッチングしてもよい。図3Aに示されるように、半導体プラグ312は、任意の適切な方向にあるシリコン基板302から(たとえば、下面および/または側面から)エピタキシャル成長された単結晶シリコンでチャネルホールの底部を満たすことによって形成され得る。半導体プラグ312をエピタキシャル成長するための製造プロセスは、限定はされないが、気相成長(VPE)、液相成長(LPE)、分子ビーム成長(MPE)、またはこれらの任意の組合せを含み得る。
図3Aに示されるように、メモリフィルム314(ブロッキング層、ストレージ層、およびトンネリング層を含む)および半導体チャネル316は、チャネル構造310のチャネルホールの側壁に沿って、かつ半導体プラグ312の上に形成される。いくつかの実施形態では、メモリフィルム314はまず、チャネルホールの側壁に沿って、かつ半導体プラグ312の上に堆積され、半導体チャネル316が次いでメモリフィルム314を覆って堆積される。続いて、メモリフィルム314を形成するために、ブロッキング層、ストレージ層、およびトンネリング層が、ALD、CVD、PVD、任意の他の適切なプロセス、またはこれらの任意の組合せなどの1つまたは複数の薄膜堆積プロセスを使用して、この順序で堆積され得る。次いで、半導体チャネル316が、ALD、CVD、PVD、任意の他の適切なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用してトンネリング層にポリシリコンを堆積することによって形成され得る。半導体チャネル316は、たとえばSONOパンチプロセスを使用して、半導体プラグ312に接触していてもよい。いくつかの実施形態では、半導体チャネル316は、チャネルホールを完全に満たすことなくチャネルホールに堆積される。いくつかの実施形態では、酸化シリコン層などのキャッピング層が、CVD、PVD、ALD、電気メッキ、無電解メッキ、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用してチャネルホールの残りの空間を完全にまたは部分的に満たすために、チャネルホールにおいて形成される。
図3Aに示されるように、チャネルプラグ320は、チャネル構造310のチャネルホールの頂部に形成される。いくつかの実施形態では、誘電体スタック304の上面に接している、メモリフィルム314、半導体チャネル316、およびキャッピング層の部分は、CMP、ウェットエッチング、および/またはドライエッチングによって取り除かれ平坦化される。次いで、チャネルホールの頂部における半導体チャネル316およびキャッピング層の部分をウェットエッチングならびに/またはドライエッチングすることによって、チャネルホールの頂部に凹部が形成され得る。次いで、ポリシリコンなどの半導体材料を、CVD、PVD、ALD、電気メッキ、無電解メッキ、またはこれらの任意の組合せなどの1つまたは複数の薄膜堆積プロセスによりその凹部へと堆積することによって、チャネルプラグ320が形成され得る。こうして、誘電体スタック304を貫通するチャネル構造310が形成される。
図4に示されるように、方法400は、ローカル誘電層が誘電体スタックに接して形成される、動作406に続く。ローカル誘電層は、形成されるべき最終的な3Dメモリデバイスの相互接続構造の一部である。図3Bに示されるように、ローカル誘電層322は誘電体スタック304に接して形成される。ローカル誘電層322は、誘電体スタック304の上面の上に、CVD、PVD、ALD、またはこれらの任意の組合せなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンおよび/または窒化シリコンなどの誘電材料を堆積することによって、形成され得る。
図4に示されるように、方法400は、ローカル誘電層および誘電体スタックを貫通して垂直に延びるスリット開口が形成される、動作408に続く。図3Cに示されるように、スリット開口326は、DRIEなどのウェットエッチングおよび/またはドライエッチングを使用して形成される。いくつかの実施形態では、エッチングプロセスは、シリコン基板302に達するように、ローカル誘電層322ならびに誘電体スタック304の交互配置された犠牲層306および誘電層308(たとえば、窒化シリコン層および酸化シリコン層)を貫通するスリット開口326をエッチングする。スリット開口326は、フォトリソグラフィを使用してエッチングマスク(たとえば、フォトレジスト)をエッチングすることによってパターニングされ得るので、スリット開口326は、スリット構造が形成されることになる場所に形成されることになる。
図4に示されるように、方法400は、交互配置された導電層および誘電層を含むメモリスタックが、スリット開口を通じて、犠牲層を導電層で置換する(すなわち、いわゆる「ゲート置換」プロセス)ことによって形成される、動作410に進む。図3Dに示されるように、犠牲層306(図3Bに示される)は導電層332により置き換えられ、それにより、交互配置された導電層332および誘電層308を含むメモリスタック334が形成される。ゲート置換プロセスはバリア構造307に影響を与えないことがあり、バリア構造307は依然として、その後の最終的な3Dメモリデバイスにおいても交互配置された第1および第2の誘電層を含むことが理解される。
いくつかの実施形態では、スリット開口326を通じて犠牲層306を取り除くことによって、横方向の凹部(図示せず)がまず形成される。いくつかの実施形態では、犠牲層306は、スリット開口326を通じてエッチング液を塗布することによって除去されるので、犠牲層306は除去され、誘電層308間に交互配置された横方向の凹部が生じる。エッチング液は、誘電層308に対して選択的に犠牲層306をエッチングする任意の適切なエッチャントを含み得る。図3Dに示されるように、導電層332は、スリット開口326を通じて横方向の凹部へと堆積される。いくつかの実施形態では、ゲート誘電層が導電層332の前に横方向の凹部へと堆積されるので、導電層332はゲート誘電層に堆積される。金属層などの導電層332は、ALD、CVD、PVD、任意の他の適切なプロセス、またはこれらの任意の組合せなどの、1つまたは複数の薄膜堆積プロセスを使用して堆積され得る。
図4に示されるように、方法400は、第1のソースコンタクト部分がスリット開口において形成される、動作412に進む。いくつかの実施形態では、第1のソースコンタクト部分を形成するために、スリット開口の側壁を覆ってスペーサが形成され、スリット開口の中のスペーサを覆って導電層が堆積され、スリット開口において導電層がエッチバックされる。導電層はポリシリコンを含み得る。
図3Dに示されるように、スペーサ338は、スリット開口326の側壁を覆って形成され得る。いくつかの実施形態では、ドープされた領域(図示せず)がまず、スリット開口326を通じて露出されるシリコン基板302の部分へとP型またはN型のドーパントをドープするために、イオン注入および/または熱拡散を使用して形成され得る。いくつかの実施形態では、エッチバック凹部は、スリット開口326の側壁に隣接する各導電層332において形成される。エッチバック凹部は、スリット開口326を通じたウェットエッチングおよび/またはドライエッチングプロセスを使用してエッチバックされ得る。いくつかの実施形態によれば、酸化シリコンおよび窒化シリコンなどの1つまたは複数の誘電層を含むスペーサ338は、ALD、CVD、PVD、任意の他の適切なプロセス、またはこれらの任意の組合せなどの1つまたは複数の薄膜堆積プロセスを使用して、エッチバック凹部へとスリット開口326の側壁に沿って堆積される。図3Dに示されるように、下側ソースコンタクト部分342-1は、スリット開口326の底部において形成される。いくつかの実施形態では、たとえばドープされたポリシリコンを含む導電層は、スリット開口326においてスペーサ338を覆って堆積される。いくつかの実施形態では、スリット開口326の頂部において導電層の部分を取り除くためにエッチバックプロセスが実行され、スリット開口326の底部に下側ソースコンタクト部分342-1が残る。たとえば、ウェットエッチングおよび/またはドライエッチングを使用して、ポリシリコンがエッチバックされ得る。
図4に示されるように、方法400は、チャネル構造を露出するためのローカル誘電層を貫通するチャネルローカルコンタクト開口、メモリスタックの端の階段構造において導電層のうちの1つを露出するためのローカル誘電層を貫通する階段ローカルコンタクト開口、およびメモリスタックの外側の基板へと垂直に延びる周辺ローカルコンタクト開口が同時に形成される、動作414に進む。いくつかの実施形態では、チャネルローカルコンタクト開口、階段ローカルコンタクト開口、および周辺ローカルコンタクト開口の同時形成の前に、スリット開口を覆うために、ハードマスクが形成される。
図3Eに示されるように、ハードマスク336は、スリット開口326を覆うために、ローカル誘電層322に接して、かつスリット開口326(図3Dに示される)において形成される。チャネルローカルコンタクト開口324、階段ローカルコンタクト開口325、および周辺ローカルコンタクト開口327のための開口を作り出すために、ハードマスク336が、フォトリソグラフィと、それに続いてドライエッチングおよび/またはウェットエッチングプロセスを使用してパターニングされ得る。チャネルローカルコンタクト開口324、階段ローカルコンタクト開口325、および周辺ローカルコンタクト開口327を同じエッチングプロセスにおいて同時にエッチングするためのハードマスク336における開口を通じて、DRIEなどのドライエッチングおよび/またはウェットエッチングの1つまたは複数のサイクルが実行され得る。いくつかの実施形態では、それぞれチャネル構造310を露出するためにチャネル構造310のチャネルプラグ320において停止する、チャネルローカルコンタクト開口324を形成するために、ローカル誘電層322がそれを貫通してエッチングされる。いくつかの実施形態では、それぞれ導電層332を露出するためにメモリスタック334の端の階段構造305において導電層332(図3Dに示される)において停止する、階段ローカルコンタクト開口325を形成するために、ローカル誘電層322がそれを貫通してエッチングされる。いくつかの実施形態では、シリコン基板302において停止する、周辺ローカルコンタクト開口327を形成するために、ローカル誘電層322およびメモリスタック334の外側のILD層がそれを貫通してエッチングされる。いくつかの実施形態では、バリア構造307を貫通してシリコン基板302へと垂直に延びる周辺ローカルコンタクト開口327を形成するために、バリア構造307の中の交互配置された第1および第2の誘電層もそれらを貫通してエッチングされる。
図4に示されるように、方法400は、チャネルローカルコンタクト開口の中のチャネルローカルコンタクト、スリット開口の中の第1のソースコンタクト部分の上の第2のソースコンタクト部分、階段ローカルコンタクト開口の中の階段ローカルコンタクト、および周辺ローカルコンタクト開口の中の周辺ローカルコンタクトが同時に形成される、動作416に進む。いくつかの実施形態では、チャネルローカルコンタクト、第2のソースコンタクト部分、階段ローカルコンタクト、および周辺ローカルコンタクトを同時にために、チャネルローカルコンタクト開口、スリット開口、階段ローカルコンタクト開口、および周辺ローカルコンタクト開口において、導電層が同時に堆積され、チャネルローカルコンタクトの上端、第2のソースコンタクト部分の上端、階段ローカルコンタクトの上端、および周辺ローカルコンタクトの上端が互いに同一平面にあるように、堆積された導電層が平坦化される。導電層はタングステンを含み得る。
図3Fに示されるように、ハードマスク336(図3Eに示される)は除去され、チャネルローカルコンタクト340、上側ソースコンタクト部分342-2、階段ローカルコンタクト343、および周辺ローカルコンタクト345が同時に形成される。いくつかの実施形態では、たとえばタングステンを含む導電層が、チャネルローカルコンタクト開口324(図3Eに示される)、スリット開口326の残りの空間(ハードマスク336が除去されると図3Dに示される)、階段ローカルコンタクト開口325(図3Eに示される)、および周辺ローカルコンタクト開口327(図3Eに示される)へと同じ堆積プロセスによって堆積され、チャネルローカルコンタクト340、上側ソースコンタクト部分342-2、階段ローカルコンタクト343、および周辺ローカルコンタクト345を同時に形成する。堆積プロセスは、ALD、CVD、PVD、任意の他の適切なプロセス、またはこれらの任意の組合せなどの、薄膜堆積プロセスを含み得る。余剰の導電層を除去して堆積された導電層を平坦化するために、CMPなどの平坦化プロセスが実行され得る。したがって、いくつかの実施形態によれば、チャネルローカルコンタクト340の上端、上側ソースコンタクト部分342-2の上端、階段ローカルコンタクト343の上端、および周辺ローカルコンタクト345の上端は、互いに同一平面にある。こうして、ソースコンタクト342を含む(下側ソースコンタクト部分342-1および上側ソースコンタクト部分342-2を含む)スリット構造344およびスペーサ338も形成される。
図4に示されるように、方法400は、相互接続層がローカル誘電層に接して形成される、動作418に進む。相互接続層は、チャネルローカルコンタクトの上にありそれと接触しているチャネルコンタクト、第2のソースコンタクト部分の上にありそれと接触しているスリットコンタクト、階段ローカルコンタクトの上にありそれと接触している階段コンタクト、および周辺ローカルコンタクトの上にありそれと接触している周辺コンタクトを含む。いくつかの実施形態では、相互接続層を形成するために、ローカル誘電層に接して別の誘電層が形成される。いくつかの実施形態では、相互接続層を形成するために、チャネルローカルコンタクトを露出するための別の誘電層を貫通するチャネルコンタクト開口、第2のソースコンタクト部分を露出するための別の誘電層を貫通するスリットコンタクト開口、階段ローカルコンタクトを露出するための別の誘電層を貫通する階段コンタクト開口、および周辺ローカルコンタクトを露出するための別の誘電層を貫通する周辺コンタクト開口が同時に形成される。いくつかの実施形態では、相互接続層を形成するために、チャネルローカルコンタクト開口の中のチャネルローカルコンタクト、スリット開口の中の第1のソースコンタクト部分の上にある第2のソースコンタクト部分、および階段ローカルコンタクト開口の中の階段ローカルコンタクトが同時に形成される。
図3Gに示されるように、誘電層348がローカル誘電層322に接して形成される。誘電層348は、ローカル誘電層322の上面の上に、CVD、PVD、ALD、またはこれらの任意の組合せなどの1つまたは複数の薄膜堆積プロセスを使用して、酸化シリコンおよび/または窒化シリコンなどの誘電材料を堆積することによって形成され得る。スリットコンタクト開口、チャネルコンタクト開口、階段コンタクト開口、および周辺コンタクト開口は、同じエッチングプロセスを使用して誘電層348を貫通して同時に形成される。いくつかの実施形態では、エッチングプロセスは、それぞれチャネルローカルコンタクト340の上端を露出するためにチャネルローカルコンタクト340の上端において止まる、誘電層348を貫通するチャネルコンタクト開口をエッチングする。いくつかの実施形態では、同じエッチングプロセスが、スリット構造344の上端を露出するためにスリット構造344の上端において止まる、誘電層348を貫通するスリットコンタクト開口もエッチングする。いくつかの実施形態では、同じエッチングプロセスが、それぞれ階段ローカルコンタクト343の上端を露出するために階段ローカルコンタクト343の上端において止まる、誘電層348を貫通する階段コンタクト開口もエッチングする。いくつかの実施形態では、同じエッチングプロセスが、それぞれ階段ローカルコンタクト343の上端を露出するために周辺ローカルコンタクト345の上端において止まる、誘電層348を貫通する周辺コンタクト開口もエッチングする。エッチングプロセスは、ウェットエッチングおよび/またはドライエッチングの1つまたは複数のサイクルを含み得る。チャネルコンタクト開口、階段コンタクト開口、周辺コンタクト開口、およびスリットコンタクト開口は、フォトリソグラフィを使用してエッチングマスク(たとえば、フォトレジスト)によってパターニングされ得るので、チャネルコンタクト開口、階段コンタクト開口、周辺コンタクト開口、およびスリットコンタクト開口は、それぞれ、スリット構造344のチャネルローカルコンタクト340、階段ローカルコンタクト343、周辺ローカルコンタクト345、および上側ソースコンタクト部分342-2と揃っている。
図3Hにおいて示されるように、たとえばタングステンを含む導電層は、チャネルコンタクト354、スリットコンタクト356、階段コンタクト357、および周辺コンタクト359を同時に形成するために、チャネルコンタクト開口、スリットコンタクト開口、階段コンタクト開口、および周辺コンタクト開口へと同じ堆積プロセスによって堆積される。それにより、チャネルコンタクト354、スリットコンタクト356、階段コンタクト357、および周辺コンタクト359を含む相互接続層が形成される。余剰の導電層を取り除き堆積された導電層を平坦化するために、CMPなどの平坦化プロセスが実行され得る。したがって、いくつかの実施形態によれば、チャネルコンタクト354の上端、スリットコンタクト356の上端、階段コンタクト357の上端、および周辺コンタクト359の上端は互いに同一平面にある。
本開示の一態様によれば、3Dメモリデバイスは、基板、メモリスタック、チャネル構造、チャネルローカルコンタクト、スリット構造、および階段ローカルコンタクトを含む。メモリスタックは、基板の上に交互配置された導電層および誘電層を含む。チャネル構造は、メモリスタックを貫通して垂直に延びる。チャネルローカルコンタクトは、チャネル構造の上にありそれと接触している。スリット構造は、メモリスタックを貫通して垂直に延びる。階段ローカルコンタクトは、メモリスタックの端の階段構造において導電層のうちの1つの上にありそれと接触している。チャネルローカルコンタクトの上端、スリット構造の上端、および階段ローカルコンタクトの上端は、互いに同一平面にある。
いくつかの実施形態では、3Dメモリデバイスはさらに、チャネルローカルコンタクトの上端の上にありそれと接触しているチャネルコンタクト、スリット構造の上端の上にありそれと接触しているスリットコンタクト、および階段ローカルコンタクトの上端にありそれと接触している階段コンタクトを含む。いくつかの実施形態によれば、チャネルコンタクトの上端、スリットコンタクトの上端、および階段コンタクトの上端は、互いに同一平面にある。
いくつかの実施形態では、チャネルコンタクト、スリットコンタクト、および階段コンタクトは、同じ深さを有し、同じ導電性材料を含む。
いくつかの実施形態では、スリット構造は、第1のソースコンタクト部分と、第1のソースコンタクト部分の上にあり第1のソースコンタクト部分と異なる材料を有する第2のソースコンタクト部分とを含むソースコンタクトと、スリット構造のソースコンタクトとメモリスタックの導電層との間の横方向のスペーサとを含む。
いくつかの実施形態では、第2のソースコンタクト部分、チャネルローカルコンタクト、および階段ローカルコンタクトは、同じ導電性材料を含む。
いくつかの実施形態では、第1のソースコンタクト部分はポリシリコンを含み、第2のソースコンタクト部分、チャネルローカルコンタクト、および階段ローカルコンタクトは同じ金属を含む。金属はタングステンを含み得る。
いくつかの実施形態では、チャネル構造は、半導体チャネルおよびメモリフィルムを含む。いくつかの実施形態では、チャネル構造はさらに、チャネル構造の頂部の中にありチャネルローカルコンタクトと接触している、チャネルプラグを含む。
いくつかの実施形態では、3Dメモリデバイスはさらに、メモリスタックの外側の基板へと垂直に延びる周辺ローカルコンタクトを含む。いくつかの実施形態によれば、周辺ローカルコンタクトの上端は、チャネルローカルコンタクトの上端、スリット構造の上端、および階段ローカルコンタクトの上端と同一平面にある。
いくつかの実施形態では、3Dメモリデバイスはさらに、交互配置された第1の誘電層および第2の誘電層を備えるバリア構造を含む。いくつかの実施形態では、周辺ローカルコンタクトは、バリア構造を貫通して垂直に延びる。
本開示の別の態様によれば、3Dメモリデバイスは、基板と、メモリスタックと、チャネル構造と、チャネルローカルコンタクトと、スリット構造と、周辺ローカルコンタクトとを含む。メモリスタックは、基板の上に交互配置された導電層および誘電層を含む。チャネル構造は、メモリスタックを貫通して垂直に延びる。チャネルローカルコンタクトは、チャネル構造の上にありそれと接触している。スリット構造は、メモリスタックを貫通して垂直に延びる。周辺ローカルコンタクトは、メモリスタックの外側の基板へと垂直に延びる。チャネルローカルコンタクトの上端、スリット構造の上端、および周辺ローカルコンタクトの上端は、互いに同一平面にある。
いくつかの実施形態では、3Dメモリデバイスはさらに、チャネルローカルコンタクトの上端の上にありそれと接触しているチャネルコンタクト、スリット構造の上端の上にありそれと接触しているスリットコンタクト、および周辺ローカルコンタクトの上端の上にありそれと接触している周辺コンタクトを含む。いくつかの実施形態によれば、チャネルコンタクトの上端、スリットコンタクトの上端、および周辺コンタクトの上端は、互いに同一平面にある。
いくつかの実施形態では、チャネルコンタクト、スリットコンタクト、および周辺コンタクトは、同じ深さを有し、同じ導電性材料を含む。
いくつかの実施形態では、スリット構造は、第1のソースコンタクト部分と、第1のソースコンタクト部分の上にあり第1のソースコンタクト部分と異なる材料を有する第2のソースコンタクト部分とを含むソースコンタクトと、スリット構造のソースコンタクトとメモリスタックの導電層との間の横方向のスペーサとを含む。
いくつかの実施形態では、第2のソースコンタクト部分、チャネルローカルコンタクト、および周辺ローカルコンタクトは、同じ導電性材料を含む。
いくつかの実施形態では、第1のソースコンタクト部分はポリシリコンを含み、第2のソースコンタクト部分、チャネルローカルコンタクト、および周辺ローカルコンタクトは同じ金属を含む。金属はタングステンを含み得る。
いくつかの実施形態では、チャネル構造は、半導体チャネルおよびメモリフィルムを含む。いくつかの実施形態では、チャネル構造はさらに、チャネル構造の頂部の中にありチャネルローカルコンタクトと接触しているチャネルプラグを含む。
いくつかの実施形態では、3Dメモリデバイスはさらに、メモリスタックの端の階段構造において導電層のうちの1つの上にありそれと接触している階段ローカルコンタクトを含む。いくつかの実施形態によれば、階段ローカルコンタクトの上端は、チャネルローカルコンタクトの上端、スリット構造の上端、および周辺ローカルコンタクトの上端と同一平面にある。
いくつかの実施形態では、3Dメモリデバイスはさらに、交互配置された第1の誘電層および第2の誘電層を備えるバリア構造を含む。いくつかの実施形態によれば、周辺ローカルコンタクトは、バリア構造を貫通して垂直に延びる。
いくつかの実施形態では、周辺ローカルコンタクトは垂直相互接続アクセス(ビア)コンタクトである。
本開示のさらに別の態様によれば、3Dメモリデバイスを形成するための方法が開示される。交互配置された犠牲層および誘電層を含む誘電体スタックを貫通して垂直に延びるチャネル構造は、基板の上に形成される。ローカル誘電層は誘電体スタックに接して形成される。ローカル誘電層および誘電体スタックを貫通して垂直に延びるスリット開口が形成される。交互配置された導電層および誘電層を含むメモリスタックは、スリット開口を通じて、犠牲層を導電層で置換することによって形成される。第1のソースコンタクト部分はスリット開口において形成される。チャネル構造を露出するためのローカル誘電層を貫通するチャネルローカルコンタクト開口、およびメモリスタックの端の階段構造において導電層のうちの1つを露出するためのローカル誘電層を貫通する階段ローカルコンタクト開口が、同時に形成される。チャネルローカルコンタクト開口の中のチャネルローカルコンタクト、スリット開口の中の第1のソースコンタクト部分の上の第2のソースコンタクト部分、および階段ローカルコンタクト開口の中の階段ローカルコンタクトが、同時に形成される。
いくつかの実施形態では、チャネルローカルコンタクト開口および階段ローカルコンタクト開口を同時に形成するために、(i)チャネルローカルコンタクト開口、(ii)階段ローカルコンタクト開口、および(iii)メモリスタックの外側の基板へと垂直に延びる周辺ローカルコンタクト開口が、同時に形成される。いくつかの実施形態では、チャネルローカルコンタクト、第2のソースコンタクト部分、および階段ローカルコンタクトを同時に形成するために、(i)チャネルローカルコンタクト、(ii)第2のソースコンタクト部分、(iii)階段ローカルコンタクト、および(iv)周辺ローカルコンタクト開口の中の周辺ローカルコンタクトが、同時に形成される。
いくつかの実施形態では、相互接続層はローカル誘電層に接して形成される。相互接続層は、(i)チャネルローカルコンタクトの上にありそれと接触しているチャネルコンタクト、(ii)第2のソースコンタクト部分の上にありそれと接触しているスリットコンタクト、(iii)階段ローカルコンタクトの上にありそれと接触している階段コンタクト、および(iv)周辺ローカルコンタクトの上にありそれと接触している周辺コンタクトを含み得る。
いくつかの実施形態では、相互接続層を形成するために、(i)チャネルローカルコンタクトを露出するための別の誘電層を貫通するチャネルコンタクト開口、(ii)第2のソースコンタクト部分を露出するための別の誘電層を貫通するスリットコンタクト開口、(iii)階段ローカルコンタクトを露出するための別の誘電層を貫通する階段コンタクト開口、および(iv)周辺ローカルコンタクトを露出するための別の誘電層を貫通する周辺コンタクト開口が同時に形成され、導電層が、チャネルコンタクト開口、スリットコンタクト開口、階段コンタクト開口、および周辺コンタクト開口へと同時に堆積され、堆積された導電層が平坦化されるので、チャネルコンタクトの上端、スリットコンタクトの上端、階段コンタクトの上端、および周辺コンタクトの上端は互いに同一平面にある。
いくつかの実施形態では、チャネルローカルコンタクト、第2のソースコンタクト部分、および階段ローカルコンタクトを同時に形成するために、導電層が、チャネルローカルコンタクト開口、スリット開口、階段ローカルコンタクト開口、および周辺ローカルコンタクト開口において同時に堆積され、堆積された導電層が平坦化されるので、チャネルローカルコンタクトの上端、第2のソースコンタクト部分の上端、階段ローカルコンタクトの上端、および周辺ローカルコンタクトの上端は、互いに同一平面にある。いくつかの実施形態では、導電層はタングステンを含む。
いくつかの実施形態では、チャネル構造を形成するために、誘電体スタックを貫通して垂直に延びるチャネルホールがエッチングされ、続いてメモリフィルムおよび半導体チャネルがチャネルホールの側壁を覆って形成され、チャネルプラグが半導体チャネルの上にそれと接触して形成される。
特定の実施形態の前述の説明は、他者が当技術分野の知識を適用することによって、過度な実験なしで、本開示の全般的な概念から逸脱することなく、そのような特定の実施形態を様々な用途のために容易に改変および/または適合できるように、本開示の全般的な性質を明らかにする。したがって、そのような適応および改変は、本明細書において提示される教示および案内に基づいて、開示される実施形態の均等物の意味および範囲内にあることが意図される。本明細書における語句または用語は、限定ではなく説明が目的であるので、本明細書の用語または語句は教示および案内に照らして当業者により解釈されるべきであることを理解されたい。
本開示の実施形態は、指定された機能の実装および機能の関係を示す、機能構築ブロックの助けを得て上で説明された。これらの機能構築ブロックの境界は、説明の便宜上、本明細書では恣意的に定義されている。指定された機能および機能の関係が適切に実行される限り、代替的な境界が定義され得る。
発明を実施するための形態および要約書のセクションは、本開示のすべてではないが1つまたは複数の例示的な実施形態を、発明者により企図されるように記載することがあるので、本開示および添付の特許請求の範囲をいかようにも限定することは意図されない。
本開示の幅および範囲は、上で説明された例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびその均等物にのみ従って定義されるべきである。
100 3Dメモリデバイス
102 基板
104 メモリスタック
106 チャネル構造
108 スリット構造
110 ローカルコンタクト層
112 相互接続層
114 階段構造
116 チャネルローカルコンタクト
118 スリットローカルコンタクト
120 チャネルコンタクト
122 スリットコンタクト
124 階段ローカルコンタクト
126 周辺ローカルコンタクト
128 階段コンタクト
130 周辺コンタクト
200 3Dメモリデバイス
202 基板
204 チャネル構造
206 導電層
208 誘電層
210 メモリスタック
212 半導体チャネル
214 メモリフィルム
218 半導体プラグ
220 チャネルプラグ
222 ローカルコンタクト層
224 チャネルローカルコンタクト
226 スリット構造
228 ソースコンタクト
228-1 下側ソースコンタクト部分
228-2 上側ソースコンタクト部分
230 スペーサ
234 相互接続層
236 チャネルコンタクト
238 スリットコンタクト
240 階段構造
242 階段ローカルコンタクト
244 周辺ローカルコンタクト
246 バリア構造
248 階段コンタクト
250 周辺コンタクト
302 シリコン基板
304 誘電体スタック
305 階段構造
306 犠牲層
307 バリア構造
308 誘電層
310 チャネル構造
312 半導体プラグ
314 メモリフィルム
316 半導体チャネル
320 チャネルプラグ
322 ローカル誘電層
324 チャネルローカルコンタクト開口
325 階段ローカルコンタクト開口
326 スリット開口
327 周辺ローカルコンタクト開口
332 導電層
334 メモリスタック
336 ハードマスク
338 スペーサ
340 チャネルローカルコンタクト
342 ソースコンタクト
342-1 下側ソースコンタクト部分
342-2 上側ソースコンタクト部分
343 階段ローカルコンタクト
344 スリット構造
345 周辺ローカルコンタクト
348 誘電層
354 チャネルコンタクト
356 スリットコンタクト
357 階段コンタクト
359 周辺コンタクト

Claims (30)

  1. 3次元(3D)メモリデバイスであって、
    基板と、
    前記基板の上の交互配置された導電層および誘電層を備えるメモリスタックと、
    前記メモリスタックを貫通して垂直に延びるチャネル構造と、
    前記チャネル構造の上にあり前記チャネル構造と接触しているチャネルローカルコンタクトと、
    前記メモリスタックを貫通して垂直に延びるスリット構造と、
    前記メモリスタックの端の階段構造において前記導電層のうちの1つの上にあり前記1つと接触している階段ローカルコンタクトとを備え、
    前記チャネルローカルコンタクトの上端、前記スリット構造の上端、および前記階段ローカルコンタクトの上端が互いに同一平面にある、3Dメモリデバイス。
  2. 前記チャネルローカルコンタクトの前記上端の上にあり前記上端と接触しているチャネルコンタクトと、
    前記スリット構造の前記上端の上にあり前記上端と接触しているスリットコンタクトと、
    前記階段ローカルコンタクトの前記上端の上にあり前記上端と接触している階段コンタクトとをさらに備え、
    前記チャネルコンタクトの上端、前記スリットコンタクトの上端、および前記階段コンタクトの上端が、互いに同一平面にある、請求項1に記載の3Dメモリデバイス。
  3. 前記チャネルコンタクト、前記スリットコンタクト、および前記階段コンタクトが、同じ深さを有し、同じ導電性材料を含む、請求項2に記載の3Dメモリデバイス。
  4. 前記スリット構造が、
    第1のソースコンタクト部分と、前記第1のソースコンタクト部分の上にあり前記第1のソースコンタクト部分と異なる材料を有する第2のソースコンタクト部分とを備える、ソースコンタクトと、
    前記スリット構造の前記ソースコンタクトと前記メモリスタックの前記導電層との間の横方向のスペーサとを備える、請求項1から3のいずれか一項に記載の3Dメモリデバイス。
  5. 前記第2のソースコンタクト部分、前記チャネルローカルコンタクト、および前記階段ローカルコンタクトが、同じ導電性材料を含む、請求項4に記載の3Dメモリデバイス。
  6. 前記第1のソースコンタクト部分がポリシリコンを備え、
    前記第2のソースコンタクト部分、前記チャネルローカルコンタクト、および前記階段ローカルコンタクトが同じ金属を含む、請求項5に記載の3Dメモリデバイス。
  7. 前記金属がタングステンを含む、請求項6に記載の3Dメモリデバイス。
  8. 前記チャネル構造が半導体チャネルおよびメモリフィルムを備える、請求項1から7のいずれか一項に記載の3Dメモリデバイス。
  9. 前記チャネル構造が、前記チャネル構造の頂部の中にあり前記チャネルローカルコンタクトと接触している、チャネルプラグを備える、請求項1から8のいずれか一項に記載の3Dメモリデバイス。
  10. 前記メモリスタックの外側の前記基板へと垂直に延びる周辺ローカルコンタクトをさらに備え、前記周辺ローカルコンタクトの上端が、前記チャネルローカルコンタクトの前記上端、前記スリット構造の前記上端、および前記階段ローカルコンタクトの前記上端と同一平面にある、請求項1から9のいずれか一項に記載の3Dメモリデバイス。
  11. 交互配置された第1の誘電層および第2の誘電層を備えるバリア構造をさらに備え、前記周辺ローカルコンタクトが前記バリア構造を貫通して垂直に延びる、請求項10に記載の3Dメモリデバイス。
  12. 3次元(3D)メモリデバイスであって、
    基板と、
    前記基板の上の交互配置された導電層および誘電層を備えるメモリスタックと、
    前記メモリスタックを貫通して垂直に延びるチャネル構造と、
    前記チャネル構造の上にあり前記チャネル構造と接触しているチャネルローカルコンタクトと、
    前記メモリスタックを貫通して垂直に延びるスリット構造と、
    前記メモリスタックの外側の前記基板へと垂直に延びる周辺ローカルコンタクトとを備え、
    前記チャネルローカルコンタクトの上端、前記スリット構造の上端、および前記周辺ローカルコンタクトの上端が互いに同一平面にある、3Dメモリデバイス。
  13. 前記チャネルローカルコンタクトの前記上端の上にあり前記上端と接触しているチャネルコンタクトと、
    前記スリット構造の前記上端の上にあり前記上端と接触しているスリットコンタクトと、
    前記周辺ローカルコンタクトの前記上端の上にあり前記上端と接触している周辺コンタクトとをさらに備え、
    前記チャネルコンタクトの上端、前記スリットコンタクトの上端、および前記周辺コンタクトの上端が、互いに同一平面にある、請求項12に記載の3Dメモリデバイス。
  14. 前記チャネルコンタクト、前記スリットコンタクト、および前記周辺コンタクトが、同じ深さを有し、同じ導電性材料を含む、請求項13に記載の3Dメモリデバイス。
  15. 前記スリット構造が、
    第1のソースコンタクト部分と、前記第1のソースコンタクト部分の上にあり前記第1のソースコンタクト部分と異なる材料を有する第2のソースコンタクト部分とを備える、ソースコンタクトと、
    前記スリット構造の前記ソースコンタクトと前記メモリスタックの前記導電層との間の横方向のスペーサとを備える、請求項12から14のいずれか一項に記載の3Dメモリデバイス。
  16. 前記第2のソースコンタクト部分、前記チャネルローカルコンタクト、および周辺階段ローカルコンタクトが、同じ導電性材料を含む、請求項15に記載の3Dメモリデバイス。
  17. 前記第1のソースコンタクト部分がポリシリコンを含み、
    前記第2のソースコンタクト部分、前記チャネルローカルコンタクト、および前記周辺ローカルコンタクトが同じ金属を含む、請求項16に記載の3Dメモリデバイス。
  18. 前記金属がタングステンを含む、請求項17に記載の3Dメモリデバイス。
  19. 前記チャネル構造が半導体チャネルおよびメモリフィルムを備える、請求項12から18のいずれか一項に記載の3Dメモリデバイス。
  20. 前記チャネル構造が、前記チャネル構造の頂部の中にあり前記チャネルローカルコンタクトと接触している、チャネルプラグを備える、請求項12から19のいずれか一項に記載の3Dメモリデバイス。
  21. 前記メモリスタックの端の階段構造において前記導電層のうちの1つの上にあり前記1つと接触している階段ローカルコンタクトをさらに備え、前記階段ローカルコンタクトの上端が、前記チャネルローカルコンタクトの前記上端、前記スリット構造の前記上端、および前記周辺ローカルコンタクトの前記上端と同一平面にある、請求項12から20のいずれか一項に記載の3Dメモリデバイス。
  22. 交互配置された第1の誘電層および第2の誘電層を備えるバリア構造をさらに備え、前記周辺ローカルコンタクトが前記バリア構造を貫通して垂直に延びる、請求項21に記載の3Dメモリデバイス。
  23. 前記周辺ローカルコンタクトが垂直相互接続アクセス(ビア)コンタクトである、請求項12から22のいずれか一項に記載の3Dメモリデバイス。
  24. 3次元(3D)メモリデバイスを形成するための方法であって、
    基板の上に交互配置された犠牲層および誘電層を備える誘電体スタックを貫通して垂直に延びるチャネル構造を形成するステップと、
    前記誘電体スタック上にローカル誘電層を形成するステップと、
    前記ローカル誘電層および前記誘電体スタックを貫通して垂直に延びるスリット開口を形成するステップと、
    前記スリット開口を通じて前記犠牲層を導電層で置換することによって、交互配置された前記導電層および前記誘電層を備えるメモリスタックを形成するステップと、
    前記スリット開口の中に第1のソースコンタクト部分を形成するステップと、
    (i)前記チャネル構造を露出するための前記ローカル誘電層を貫通するチャネルローカルコンタクト開口、および(ii)前記メモリスタックの端の階段構造において前記導電層のうちの1つを露出するための前記ローカル誘電層を貫通する階段ローカルコンタクト開口を同時に形成するステップと、
    (i)前記チャネルローカルコンタクト開口の中のチャネルローカルコンタクト、(ii)前記スリット開口の中の前記第1のソースコンタクト部分の上にある第2のソースコンタクト部分、および(iii)前記階段ローカルコンタクト開口の中の階段ローカルコンタクトを同時に形成するステップとを備える、方法。
  25. 前記チャネルローカルコンタクト開口および前記階段ローカルコンタクト開口を同時に形成するステップがさらに、(i)前記チャネルローカルコンタクト開口、(ii)前記階段ローカルコンタクト開口、および(iii)前記メモリスタックの外側の前記基板へと垂直に延びる周辺ローカルコンタクト開口を同時に形成するステップを備え、
    前記チャネルローカルコンタクト、前記第2のソースコンタクト部分、および前記階段ローカルコンタクトを同時に形成するステップがさらに、(i)前記チャネルローカルコンタクト、(ii)前記第2のソースコンタクト部分、(iii)前記階段ローカルコンタクト、および(iv)前記周辺ローカルコンタクト開口の中の周辺ローカルコンタクトを同時に形成するステップを備える、請求項24に記載の方法。
  26. 前記ローカル誘電層上に相互接続層を形成するステップをさらに備え、前記相互接続層が、(i)前記チャネルローカルコンタクトの上にあり前記チャネルローカルコンタクトと接触しているチャネルコンタクト、(ii)前記第2のソースコンタクト部分の上にあり前記第2のソースコンタクト部分と接触しているスリットコンタクト、(iii)前記階段ローカルコンタクトの上にあり前記階段ローカルコンタクトと接触している階段コンタクト、および(iv)前記周辺ローカルコンタクトの上にあり前記周辺ローカルコンタクトと接触している周辺コンタクトとを備える、請求項25に記載の方法。
  27. 前記相互接続層を形成するステップが、
    前記ローカル誘電層上に別の誘電層を形成するステップと、
    (i)前記チャネルローカルコンタクトを露出するための前記別の誘電層を貫通するチャネルコンタクト開口、(ii)前記第2のソースコンタクト部分を露出するための前記別の誘電層を貫通するスリットコンタクト開口、(iii)前記階段ローカルコンタクトを露出するための前記別の誘電層を貫通する階段コンタクト開口、および(iv)前記周辺ローカルコンタクトを露出するための前記別の誘電層を貫通する周辺コンタクト開口を同時に形成するステップと、
    前記チャネルコンタクト開口、前記スリットコンタクト開口、前記階段コンタクト開口、および前記周辺コンタクト開口へと導電層を同時に堆積するステップと、
    前記チャネルコンタクトの上端、前記スリットコンタクトの上端、前記階段コンタクトの上端、および前記周辺コンタクトの上端が互いに同一平面にあるように、前記堆積された導電層を平坦化するステップとを備える、請求項26に記載の方法。
  28. 前記チャネルローカルコンタクト、前記第2のソースコンタクト部分、および前記階段ローカルコンタクトを同時に形成するステップが、
    前記チャネルローカルコンタクト開口、前記スリット開口、前記階段ローカルコンタクト開口、および前記周辺ローカルコンタクト開口において導電層を同時に堆積するステップと、
    前記チャネルローカルコンタクトの上端、前記第2のソースコンタクト部分の上端、前記階段ローカルコンタクトの上端、および前記周辺ローカルコンタクトの上端が互いに同一平面にあるように、前記堆積された導電層を平坦化するステップとを備える、請求項25から27のいずれか一項に記載の方法。
  29. 前記導電層がタングステンを含む、請求項28に記載の方法。
  30. 前記チャネル構造を形成するステップが、
    前記誘電体スタックを貫通して垂直に延びるチャネルホールをエッチングするステップと、
    前記チャネルホールの側壁を覆ってメモリフィルムおよび半導体チャネルを続いて形成するステップと、
    前記半導体チャネルの上にあり前記半導体チャネルに接触しているチャネルプラグを形成するステップとを備える、請求項24から29のいずれか一項に記載の方法。
JP2021559587A 2020-01-21 2020-01-21 3次元メモリデバイスの相互接続構造 Pending JP2022528707A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2020/073458 WO2021146901A1 (en) 2020-01-21 2020-01-21 Interconnect structures of three-dimensional memory devices

Publications (1)

Publication Number Publication Date
JP2022528707A true JP2022528707A (ja) 2022-06-15

Family

ID=71157770

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021559587A Pending JP2022528707A (ja) 2020-01-21 2020-01-21 3次元メモリデバイスの相互接続構造

Country Status (7)

Country Link
US (4) US11342355B2 (ja)
EP (1) EP3921865A4 (ja)
JP (1) JP2022528707A (ja)
KR (1) KR20210137133A (ja)
CN (2) CN111316435B (ja)
TW (1) TWI745890B (ja)
WO (1) WO2021146901A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220129620A (ko) * 2020-04-14 2022-09-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 후면 인터커넥트 구조물들을 갖는 3차원 메모리 디바이스
KR20220010874A (ko) * 2020-07-20 2022-01-27 에스케이하이닉스 주식회사 3차원 메모리 장치 및 그 제조방법
CN112041986B (zh) 2020-07-31 2024-04-30 长江存储科技有限责任公司 用于形成具有用于阶梯区域的支持结构的三维存储器件的方法
WO2022047644A1 (en) * 2020-09-02 2022-03-10 Yangtze Memory Technologies Co., Ltd. On-chip capacitor structures in semiconductor devices
CN112038347B (zh) * 2020-09-10 2022-10-04 长江存储科技有限责任公司 三维存储器及三维存储器制作方法
WO2023024343A1 (en) * 2021-08-23 2023-03-02 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming thereof
US20230317616A1 (en) * 2022-03-30 2023-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory device having word lines surrounded by memory layers and method of making the semiconductor memory device
TWI809855B (zh) * 2022-05-05 2023-07-21 旺宏電子股份有限公司 記憶體元件、半導體元件及其製造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160126248A1 (en) * 2014-10-31 2016-05-05 Sandisk Technologies Inc. Band gap tailoring for a tunneling dielectric for a three-dimensional memory structure
US20160204122A1 (en) * 2015-01-13 2016-07-14 SanDisk Technologies, Inc. Three-dimensional memory device containing plural select gate transistors having different characteristics and method of making thereof
US20160307908A1 (en) * 2015-04-15 2016-10-20 SanDisk Technologies, Inc. Metal-semiconductor alloy region for enhancing on current in a three-dimensional memory structure
US20160329343A1 (en) * 2015-05-04 2016-11-10 Sandisk Technologies Inc. Three dimensional memory device with hybrid source electrode for wafer warpage reduction
US9530790B1 (en) * 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009246211A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd Mos型半導体メモリ装置の製造方法、コンピュータ読み取り可能な記憶媒体およびプラズマcvd装置
KR101624975B1 (ko) * 2009-11-17 2016-05-30 삼성전자주식회사 3차원 반도체 기억 소자
US8907410B2 (en) * 2013-04-25 2014-12-09 International Business Machines Corporation TSV structure with a built-in U-shaped FET transistor for improved characterization
KR102139944B1 (ko) * 2013-11-26 2020-08-03 삼성전자주식회사 3차원 반도체 메모리 장치
JP2016009788A (ja) * 2014-06-25 2016-01-18 マイクロン テクノロジー, インク. 半導体装置
JP6047124B2 (ja) 2014-07-11 2016-12-21 ヤフー株式会社 情報表示装置、配信装置、情報表示方法および情報表示プログラム
US9412749B1 (en) * 2014-09-19 2016-08-09 Sandisk Technologies Llc Three dimensional memory device having well contact pillar and method of making thereof
US9754790B2 (en) * 2015-05-14 2017-09-05 Macronix International Co., Ltd. Memory device and method for fabricating the same
US9911748B2 (en) * 2015-09-28 2018-03-06 Sandisk Technologies Llc Epitaxial source region for uniform threshold voltage of vertical transistors in 3D memory devices
US9799670B2 (en) * 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9806093B2 (en) * 2015-12-22 2017-10-31 Sandisk Technologies Llc Through-memory-level via structures for a three-dimensional memory device
CN109074807A (zh) 2016-07-19 2018-12-21 索尼公司 信息处理设备和信息处理方法
US9805805B1 (en) * 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
US10083982B2 (en) * 2016-11-17 2018-09-25 Sandisk Technologies Llc Three-dimensional memory device having select gate electrode that is thicker than word lines and method of making thereof
CN106920796B (zh) * 2017-03-08 2019-02-15 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
KR20230117633A (ko) * 2017-03-08 2023-08-08 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 메모리 장치의 쓰루 어레이 컨택 구조
KR102421766B1 (ko) * 2017-07-07 2022-07-18 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US10453798B2 (en) * 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
CN108417576B (zh) * 2018-03-16 2019-06-21 长江存储科技有限责任公司 三维存储器件及在其沟道孔中形成外延结构的方法
KR102617353B1 (ko) * 2018-03-27 2023-12-26 삼성전자주식회사 복수의 수직 채널 구조체들을 갖는 3차원 메모리 장치
CN109075169A (zh) * 2018-05-03 2018-12-21 长江存储科技有限责任公司 用于三维存储器件的贯穿阵列触点(tac)
CN108807410B (zh) * 2018-07-16 2021-02-05 长江存储科技有限责任公司 3d存储器件及其制造方法
CN111244100B (zh) * 2018-08-16 2022-06-14 长江存储科技有限责任公司 用于形成三维存储器器件中的结构增强型半导体插塞的方法
CN109473433B (zh) * 2018-11-09 2020-06-26 长江存储科技有限责任公司 三维存储器及其制作方法
EP3850660A4 (en) * 2019-01-02 2022-05-04 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL STORAGE ARRANGEMENTS WITH CONTINUOUS STAIR CONTACTS AND METHOD FOR THEIR MANUFACTURE
WO2020172799A1 (en) * 2019-02-26 2020-09-03 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device and method for forming the same
CN109904166B (zh) * 2019-02-27 2020-05-12 长江存储科技有限责任公司 三维存储器及形成三维存储器的方法
WO2020177048A1 (en) * 2019-03-04 2020-09-10 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices
WO2020220280A1 (en) * 2019-04-30 2020-11-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with embedded dynamic random-access memory
WO2021007767A1 (en) * 2019-07-16 2021-01-21 Yangtze Memory Technologies Co., Ltd. Interconnect structures of three-dimensional memory devices
US11127747B2 (en) * 2019-08-23 2021-09-21 Micron Technology, Inc. Transistors including two-dimensional materials
KR20210058562A (ko) * 2019-11-14 2021-05-24 삼성전자주식회사 수직형 비휘발성 메모리 소자 및 그 제조방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160126248A1 (en) * 2014-10-31 2016-05-05 Sandisk Technologies Inc. Band gap tailoring for a tunneling dielectric for a three-dimensional memory structure
US20160204122A1 (en) * 2015-01-13 2016-07-14 SanDisk Technologies, Inc. Three-dimensional memory device containing plural select gate transistors having different characteristics and method of making thereof
US20160307908A1 (en) * 2015-04-15 2016-10-20 SanDisk Technologies, Inc. Metal-semiconductor alloy region for enhancing on current in a three-dimensional memory structure
US20160329343A1 (en) * 2015-05-04 2016-11-10 Sandisk Technologies Inc. Three dimensional memory device with hybrid source electrode for wafer warpage reduction
US9530790B1 (en) * 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures

Also Published As

Publication number Publication date
US20220254809A1 (en) 2022-08-11
US11903204B2 (en) 2024-02-13
WO2021146901A1 (en) 2021-07-29
CN113380765B (zh) 2024-04-23
EP3921865A4 (en) 2022-07-13
KR20210137133A (ko) 2021-11-17
US11574925B2 (en) 2023-02-07
TW202129917A (zh) 2021-08-01
CN111316435B (zh) 2021-05-14
TWI745890B (zh) 2021-11-11
CN113380765A (zh) 2021-09-10
US11342355B2 (en) 2022-05-24
CN111316435A (zh) 2020-06-19
EP3921865A1 (en) 2021-12-15
US20210233932A1 (en) 2021-07-29
US20210225864A1 (en) 2021-07-22
US20240114687A1 (en) 2024-04-04

Similar Documents

Publication Publication Date Title
TWI707459B (zh) 用於形成三維記憶體元件的方法
US10680010B2 (en) Three-dimensional memory device having zigzag slit structures and method for forming the same
US11081524B2 (en) Three-dimensional memory devices
JP2022528707A (ja) 3次元メモリデバイスの相互接続構造
US10741578B2 (en) Inter-deck plug in three-dimensional memory device and method for forming the same
TW202010109A (zh) 具有貫穿陣列接觸的三維記憶體元件及其形成方法
WO2020029115A1 (en) Memory device and forming method thereof
US11177270B2 (en) Three-dimensional memory device and method for forming the same
US11393844B2 (en) Methods for forming three-dimensional memory devices
TWI742590B (zh) 三維記憶體裝置及用於形成三維記憶體裝置的方法
TW202129925A (zh) 三維記憶體裝置及用於形成三維記憶體裝置的方法
CN113488475B (zh) 三维存储器设备的局部触点及用于形成其的方法
CN111788686A (zh) 三维存储器件及用于形成其的方法
TWI756745B (zh) 用於形成三維(3d)記憶體裝置的方法
TWI746071B (zh) 3d記憶體裝置
WO2021208195A1 (en) Methods for forming three-dimensional memory devices

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211006

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230926

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20231225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240424