KR102428060B1 - 재치대 및 플라즈마 처리 장치 - Google Patents

재치대 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR102428060B1
KR102428060B1 KR1020140011360A KR20140011360A KR102428060B1 KR 102428060 B1 KR102428060 B1 KR 102428060B1 KR 1020140011360 A KR1020140011360 A KR 1020140011360A KR 20140011360 A KR20140011360 A KR 20140011360A KR 102428060 B1 KR102428060 B1 KR 102428060B1
Authority
KR
South Korea
Prior art keywords
base
focus ring
refrigerant passage
mounting
groove
Prior art date
Application number
KR1020140011360A
Other languages
English (en)
Other versions
KR20140098707A (ko
Inventor
쿄우헤이 야마모토
타이라 타카세
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140098707A publication Critical patent/KR20140098707A/ko
Priority to KR1020220093669A priority Critical patent/KR102553457B1/ko
Application granted granted Critical
Publication of KR102428060B1 publication Critical patent/KR102428060B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Abstract

피처리체의 온도 제어와 포커스 링의 온도 제어를 정확하게 독립시킬 수 있는 재치대를 제공한다. 이 재치대는 기대, 재치 부재, 포커스 링, 제 1 전열 부재 및 제 2 전열 부재를 구비한다. 기대는, 그 내부에 냉매 유로가 형성되어 있다. 이면에는, 전압 인가 가능한 급전 부재가 접속되어 있다. 표면에는, 기대의 내부에서 저면을 가지는 홈부가 표면과 직교하는 방향에서 봤을 때 환상으로 형성되어 있다. 홈부에 의해 이 홈부의 내측의 원기둥 형상의 내측 기대부와 이 홈부의 외측의 환상의 외측 기대부가 형성되어 있다. 환상의 포커스 링은 외측 기대부에 지지된다. 포커스 링은, 그 내측 측면에, 재치면에 직교하는 방향에서 봤을 때 홈부를 덮도록 직경 방향 내측으로 돌출된 볼록부를 가진다. 제 1 전열 부재는 재치면과 냉매 유로의 사이에 개재하고, 제 2 전열 부재는 포커스 링과 냉매 유로와의 사이에 개재한다.

Description

재치대 및 플라즈마 처리 장치{MOUNTING TABLE AND PLASMA PROCESSING APPARATUS}
본 발명은 재치대 및 플라즈마 처리 장치에 관한 것이다.
플라즈마 처리 장치로서, 처리 용기 내에서 피처리체(work piece)(예를 들면 반도체 웨이퍼, 글라스 기판 등)를 재치(載置)하는 재치대를 구비하는 장치가 알려져 있다(예를 들면, 특허 문헌 1 참조). 특허 문헌 1에 기재된 플라즈마 처리 장치는, 웨이퍼를 재치하는 정전 척을 구비한다. 정전 척은, 웨이퍼를 재치하는 중앙부와, 중앙부를 둘러싸도록 형성된 플랜지부를 가진다. 플랜지부의 상방에는 환상(環狀)의 포커스 링이, 플랜지부의 상면과 이간시켜 배치된다. 즉, 포커스 링의 하면과 정전 척의 플랜지부의 상면과의 사이에는 갭이 형성되어 있다. 또한, 포커스 링에 히터가 내장된 구성, 및 포커스 링의 하면에 냉각 가스를 유입하는 미세한 공간이 형성되어 있는 구성도 기재되어 있다. 정전 척은 RF 전원에 접속되어 있다.
미국특허명세서 제6795292호
플라즈마를 이용하는 반도체 디바이스 혹은 FPD(Flat Panel Display)의 제조를 위한 미세 가공에서는, 피처리체 상의 플라즈마 밀도 분포의 제어와 함께, 피처리체의 온도 내지 온도 분포의 제어가 매우 중요하다. 피처리체의 온도 제어가 적정하게 행해지지 않으면, 피처리체 표면 반응 나아가서는 프로세스 특성의 균일성을 확보할 수 없게 되어, 반도체 디바이스 또는 표시 디바이스의 제조 수율이 저하된다.
피처리체의 온도 제어로서, 통전에 의해 발열하는 발열체를 재치대에 탑재하여 이 발열체로부터 발생되는 줄열을 제어하는 히터 방식이 많이 이용되고 있다. 또한, 냉매 유로를 재치대에 형성하여 피처리체를 흡열하는 방식이 많이 이용되고 있다. 또한 특허 문헌 1에 기재된 바와 같이, 포커스 링의 온도 제어를 하기 위하여, 포커스 링에 히터가 내장되고, 또한 포커스 링의 하면에 흡열하는 냉매를 유입시키는 구조도 이용되고 있다. 이들 온도 조정 기구를 이용하여, 피처리체의 설정 온도 및 포커스 링 설정 온도를, 피처리체의 프로세스 조건에 따라 각각 최적인 온도 대역으로 유지할 필요가 있다.
포커스 링의 온도 조정의 중요성을 이하에 나타낸다. 도 6a 및 도 6b는, 피처리체인 웨이퍼의 가공 형상의 웨이퍼 중심 거리 의존성을 나타낸 그래프이다. 도 6a는, 홀 깊이의 웨이퍼 중심 거리 의존성을 나타낸 그래프이다. 횡축이 웨이퍼 중심으로부터의 거리, 종축이 홀 깊이이다. 도 6b는, 홀 형상의 웨이퍼 중심 거리 의존성을 나타낸 그래프이다. 횡축이 웨이퍼 중심으로부터의 거리, 종축이 TopCD이다. 도 6a, 도 6b 모두, 포커스 링의 온도마다 그래프를 플롯하고 있다. 도 6a 및 도 6b에 나타낸 바와 같이, 포커스 링의 온도는 특히 웨이퍼 단부(端部)(예를 들면 145 mm ~ 147 mm)에서의 홀 깊이에 크게 영향을 주는 것이 확인되었다. 도 7a 및 도 7b는, 에칭 레이트(E / R)의 웨이퍼 위치 의존성을 나타낸 그래프이다. 도 7a는 포커스 링의 온도를 제어하지 않은 경우의 그래프이며, 도 7b는 포커스 링의 온도를 저온 제어한 경우의 그래프이다. 도 7a, 도 7b 모두, X축 및 Y축은, 면내 방향의 직교축이며, 이 축을 따라 측정한 결과를 플롯하고 있다. 도 7a, 도 7b를 비교하면, 포커스 링의 온도를 저온 제어한 경우에는, 웨이퍼 단부(예를 들면 145 mm ~ 147 mm)의 에칭 레이트가 웨이퍼 중심의 에칭 레이트에 가까워져, 에칭 레이트의 면내 균일성이 향상되어 있는 것이 확인되었다.
이와 같이, 피처리체 면내의 가공 정밀도의 균일성을 실현하기 위해서는, 피처리체의 온도 조정 기구뿐 아니라, 특허 문헌 1에 기재된 플라즈마 처리 장치와 같이, 포커스 링 온도 조정 기구를 설치하는 것이 필요해진다. 이러한 일반적인 재치대로서, 예를 들면 도 8에 도시한 재치대가 고려된다. 도 8에 도시한 바와 같이, 이 재치대(200)는, 고주파 전극 기능을 가지고 또한 냉매 유로(200e, 200d)가 형성된 알루미늄 기대(30)와, 알루미늄 기대(30) 상에 배치되고 웨이퍼 재치면(60d) 및 포커스 링 재치면(60e)을 가지는 세라믹 등으로 이루어지는 정전 척(60)을 구비한다. 정전 척(60)은, 중앙부(60g) 및 중앙부(60g)를 둘러싸도록 형성된 플랜지부(60h)를 가지고, 중앙부(60g)의 상면이 웨이퍼 재치면(60d), 플랜지부(60h)의 상면이 포커스 링 재치면(60e)이 된다. 히터(60c, 7c)는, 웨이퍼 재치면(60d) 및 포커스 링 재치면(60e)의 하방, 예를 들면 정전 척(60) 내부에 탑재되고, 웨이퍼 재치면(60d) 및 포커스 링 재치면(60e)의 온도 조정을 개별로 행하는 것이 가능하게 구성한다. 웨이퍼 및 포커스 링의 온도 상승 제어는, 개개의 히터(60c, 7c)가 웨이퍼 재치면(60d) 및 포커스 링 재치면(60e)에 열을 부여함으로써 실현된다. 그리고, 웨이퍼 및 포커스 링의 온도 하강 제어는, 웨이퍼 재치면(60d) 및 포커스 링 재치면(60e)으로부터 알루미늄 기대(30) 내부의 냉매 유로(200e, 200d)로, 즉 수직 방향으로 열을 전도시키고 흡열함으로써 실현된다.
상기 구성의 재치대의 온도 제어에 대하여 검증한 결과를 도 9에 나타낸다. 도 9는, 재치대 중심으로부터의 거리(반경)마다 온도를 계측한 그래프이며, 횡축이 반경, 종축이 온도이다. 도면 중 점선으로 나타낸 반경보다 내측의 반경은 웨이퍼가 재치되는 웨이퍼 영역이며, 도면 중 점선으로 나타낸 반경보다 외측의 반경은 포커스 링이 재치되는 FR 영역이다. 도 9에서는, 웨이퍼 영역의 히터를 오프로 하고, FR 영역의 히터를 온으로 하고 있다. 즉, 웨이퍼 온도는 제어하고 있지 않고, 포커스 링만 온도 제어한 경우의 측정 결과이다. 도 9에 나타낸 바와 같이, 점선으로 나타낸 반경의 근방, 즉 웨이퍼 영역과 FR 영역과의 사이에서 열 간섭이 발생하여, 웨이퍼의 단부의 온도가 상승되어 있는 것이 확인되었다. 즉, 정전 척 상에, 웨이퍼 재치면 및 포커스 링 재치면을 형성한 경우에는, 포커스 링측으로부터 웨이퍼측으로 열 확산이 발생하는 것이 확인되었다. 이 결과는, 정전 척 내부로 유입한 열이, 정전 척으로부터 알루미늄 기대의 냉매 유로까지 이르는 수직 방향으로의 흐를뿐 아니라, 정전 척 내부 및 알루미늄 기대 내부의 냉매 유로의 상방에서 수평 방향(재치대의 직경 방향)으로 흐르고 있기 때문에 발생하고 있다고 상정된다.
이 때문에, 특허 문헌 1에 기재된 바와 같이, 포커스 링 하면과 정전 척의 플랜지부 상면과의 사이에 갭을 형성하여, 포커스 링과 정전 척을 직접 접속하지 않도록 구성함으로써, 포커스 링측으로부터 정전 척측으로 직접적인 열 확산을 방지하는 구성으로 한 경우라도, 최종적으로 포커스 링과 정전 척을 지지하는 부재가 열적으로 접속되어 있는 경우에는, 냉매 유로의 높이 위치를 고려하지 않으면 간접적으로 열 간섭하는 경우가 있다. 이 때문에, 피처리체의 온도 제어와 포커스 링의 온도 제어를 정확하게 독립시키는 기구가 요망되고 있다.
또한 웨이퍼 재치면 및 포커스 링 재치면의 온도를 독립적으로 제어했을 경우, 이하의 과제가 있다. 근래, 피처리체의 설정 온도에 비해, 포커스 링의 설정 온도를 보다 고온도 대역으로 설정하는 것이 요망되고 있다. 예를 들면, 100도 이상의 온도차를 형성하는 것이 요망되고 있다. 그러나, 웨이퍼 재치면의 직하(直下)의 히터와, 포커스 링 재치면의 직하의 히터와의 온도차를 40도 이상이 되도록 제어하면, 세라믹으로 구성되는 정전 척이 열 팽창에 의해 파괴될 우려가 있는 것을 알 수 있었다. 도 10은, 온도차에 의해 정전 척에 발생하는 최대 응력을 검증한 결과이다. 횡축이 온도차이며, 종축이 측정 개소에 발생하는 최대 응력이다. 정사각형의 범례(온도차 40℃, 최대 응력 388 MPa)는, 포커스 링의 온도를 피처리체의 온도보다 낮게 제어한 경우이며, 그 외의 범례는, 포커스 링의 온도를 피처리체의 온도보다 높게 제어한 경우이다. 파괴가 발생하는 최대 응력의 기준치는 190 MPa이다. 도 10에 나타낸 바와 같이, 온도차가 40℃ 이상이 된 경우, 기준치 190 MPa를 상회하는 결과가 되었다. 이러한 파괴는, 정전 척의 두께가 변화하는 개소에서 발생하기 쉽다. 예를 들면 도 8에 도시한 바와 같이, 웨이퍼 재치면(60d) 및 포커스 링 재치면(60e)의 경계에 있는 단차에서 발생하기 쉽다. 또한, 정전 척을 알루미늄 기대에 장착할 시의 나사 고정 개소에 대해서는 본래부터 히터를 배치할 수 없기 때문에, 상기와 같은 온도차가 현저하게 나타나기 쉽고, 결과적으로 파괴가 발생하기 쉬운 개소가 된다. 예를 들면 도 8에 도시한 바와 같이, 알루미늄 기대(30)와 지지대(40)를 나사(8e)에 의해 연결할 경우에는, 정전 척(60)의 플랜지부(60h)에 형성된 관통홀(60i), 알루미늄 기대(30)에 형성된 관통홀(30a)(내면은 나사 절삭되어 있어도 됨), 및 지지대(40)에 형성되고 내면이 나사 절삭된 삽통홀(揷通孔)(40a)에 나사(8e)를 삽입하여 나합(螺合)한다. 이 경우, 정전 척(60)에는 관통홀(60i)이 형성되기 때문에, 히터(7c)를 배치할 수 없다. 따라서, 관통홀(60i)의 형성 개소가 온도차가 현저하게 나타나기 쉽고, 결과적으로 파괴가 발생하기 쉬운 개소가 된다.
또한 특허 문헌 1에 기재된 재치대와 같이, 웨이퍼 재치면을 형성하는 부재와, 포커스 링 재치면을 형성하는 부재를 다른 부재로 구성함으로써, 상기 열 팽창차에 기인하는 열 응력 변형의 영향을 저감하는 것은 가능하다. 예를 들면, 웨이퍼를 재치하는 내측 세라믹 플레이트와, 내측 세라믹 플레이트를 환상으로 둘러싸는 외측 세라믹 플레이트를 별개로 준비하는 것이 상정된다. 그리고, 각 세라믹 플레이트에 내포되는 히터에 의해 가열 제어한다. 또한 내측 및 외측 세라믹 플레이트의 하층에는, 그 내부에 냉매 유로를 가지는 알루미늄 플레이트를 별개로 설치하고, 열 유속의 흐름을 수직 방향으로 조정한다.
그러나 상기 구성의 경우에는, 별개로 설치한 각 알루미늄 플레이트에의 RF 인가를 개개로 행할 필요가 있다. 혹은, 하나의 RF 전원으로부터 매처를 개재한 전력 공급로를 분기하여 각각의 알루미늄 플레이트로의 전력 공급을 하는 것이 필요해진다. 펄스 파형에 의한 RF 동시 인가 등, 복잡한 인가 시퀀스를 실현하기 위해서는 장치 구성이 복잡해지기 때문에, 하나의 RF 전원에 의한 전력 공급이 바람직하다. 또한, 웨이퍼 재치 부재 및 포커스 링 재치 부재의 면적 및 두께가 상이한 점에서, 웨이퍼 재치 부재 및 포커스 링 재치 부재는 컨덕턴스 성분이 크게 상이하다. 예를 들면 웨이퍼 재치 부재 및 포커스 링 재치 부재가 세라믹 플레이트를 포함할 경우, 당해 세라믹 플레이트의 면적 및 두께에 따라 컨덕턴스 성분이 크게 상이하다. 이 때문에, 전력 공급로를 웨이퍼에의 공급로와 포커스 링에의 공급로로 분기할 경우에는, 적절한 RF 분배가 이루어지지 않고, 결과적으로 플라즈마 계면에 작성되는 시스 전계가, 웨이퍼면 상 및 포커스 링면 상에서 불균일해질 우려가 있다. 이 경우, 원하는 반도체 장치를 제조할 수 없을 우려가 있다.
이와 같이, 본 기술 분야에서는, 피처리체의 온도 제어와 포커스 링의 온도 제어를 정확하게 독립시키면서, 열 응력 변형에 의해 제한되는 피처리체와 포커스 링의 설정 가능 온도차를 크게 할 수 있고, 또한 피처리체면 상 및 포커스 링면 상에서 균일한 시스 전계를 간이한 구성으로 형성하는 것이 가능한 재치대 및 플라즈마 처리 장치가 요망되고 있다.
본 발명의 일측면에 따른 재치대는, 피처리체를 재치하는 재치대이다. 상기 재치대는 기대, 재치 부재, 포커스 링, 제 1 전열 부재 및 제 2 전열 부재를 구비한다. 기대는 이면 및 이면에 대향하는 표면을 가지고, 그 내부에 냉매 유로가 형성되어 있다. 이면에는, 전압 인가 가능한 급전 부재가 접속되어 있다. 표면에는, 기대의 내부에서 저면을 가지는 홈부가 표면과 직교하는 방향에서 봤을 때 환상으로 형성되어 있다. 홈부에 의해 상기 홈부의 내측의 원기둥 형상의 내측 기대부와 상기 홈부의 외측의 환상의 외측 기대부가 형성되어 있다. 재치 부재는 내측 기대부에 지지되고, 피처리체가 재치되는 재치면을 가진다. 환상의 포커스 링은 외측 기대부에 지지되고, 재치면에 직교하는 방향에서 봤을 때 재치면의 주위를 둘러싸도록 배치된다. 포커스 링은, 그 내측 측면에, 재치면에 직교하는 방향에서 봤을 때 홈부를 덮도록 직경 방향 내측으로 돌출된 볼록부를 가진다. 제 1 전열 부재는, 재치면과 냉매 유로의 사이에 개재한다. 제 2 전열 부재는, 포커스 링과 냉매 유로의 사이에 개재한다.
이 재치대에서는, 재치 부재가 내측 기대부에 의해 지지되고, 포커스 링이 외측 기대부에 의해 지지된다. 내측 기대부 및 외측 기대부를 분리하는 홈부(공간)에 의해, 재치 부재 및 포커스 링이 열적으로 분리되어 있으므로, 수평 방향(재치대의 직경 방향)의 열의 이동이 억제된다. 이 때문에, 피처리체의 온도 제어와 포커스 링의 온도 제어를 정확하게 독립시킬 수 있다. 또한, 내측 기대부 및 외측 기대부가 홈부에 의해 공간을 두고 분리되어 있기 때문에, 내측 기대부 및 재치 부재와, 외측 기대부 및 포커스 링과의 열 팽창에 차이가 생겼을 경우라도, 열 응력 변형에 의해 구성 부재가 파괴되지 않는다. 이 때문에, 열 응력 변형에 의해 제한되는 피처리체와 포커스 링과의 설정 가능 온도차를 크게 할 수 있다. 또한 기대의 이면에 급전 부재가 접속되고, 또한 홈부가 기대의 내부에서 저면을 가짐으로써, 내측 기대부 및 외측 기대부는, 홈부의 하부에서 서로 접속되어 있다. 이와 같이, 내측 기대부 및 외측 기대부는 RF 회로로서 연결되어 있다. 따라서, 피처리체면 상 및 포커스 링면 상에서 균일한 시스 전계를 간이한 구성으로 형성할 수 있다. 또한, 홈부로 진입하려고 하는 플라즈마가 포커스 링의 내측 측면에 형성된 볼록부에 의해 차단되기 때문에, 홈부의 열화 또는 홈부에서의 이상 방전 등을 방지할 수 있다.
일실시예에서는, 홈부의 저면은, 냉매 유로의 상단면 중 가장 상방에 위치하는 상단면의 높이와 동일 위치에 형성되고, 또는 냉매 유로의 상단면 중 가장 상방에 위치하는 상단면의 높이보다 하방에 형성되어도 된다. 이 경우, 냉매 유로의 상방에서의 수평 방향의 열 유속이 홈부에 의해 차단되기 때문에, 피처리체의 온도 제어와 포커스 링의 온도 제어를 한층 정확하게 독립시킬 수 있다.
일실시예에서는, 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이가, 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 높고, 홈부의 저면은, 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이와 동일 위치에 형성되고, 또는 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 하방에 형성되어도 된다. 또한 일실시예에서는, 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이가, 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 낮고, 홈부의 저면은, 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이와 동일 위치에 형성되고, 또한 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 하방에 형성되어도 된다.
일실시예에서는, 재치 부재는 그 내부에 제 1 전열 부재를 포함해도 된다. 이 경우, 피처리체에 효율 좋게 열을 부여할 수 있다. 일실시예에서는, 재치 부재는, 그 내부로서, 제 1 전열 부재의 상방에, 피처리체를 정전 흡착하기 위한 전극을 더 포함해도 된다. 이와 같이, 재치 부재는 정전 척으로서 기능시킬 수 있다.
일실시예에서는, 포커스 링과 외측 기대부의 사이에 개재하는 스페이서 부재를 더 구비해도 된다. 스페이서 부재는 그 내부에 제 2 전열 부재를 포함해도 된다. 이 경우, 포커스 링에 효율 좋게 열을 부여할 수 있다.
일실시예에서는, 냉매 유로는, 재치 부재 및 포커스 링의 하방에 배치되어도 된다. 이 경우, 피처리체의 온도 제어와 포커스 링의 온도 제어를 한층 정확하게 독립시킬 수 있다.
일실시예에서는, 재치대는 기대의 이면을 지지하고, 관통홀이 형성된 지지대와, 관통홀에 삽입 관통 가능한 고정 부재를 더 구비해도 된다. 그리고, 기대의 이면에는 고정 부재와 체결 가능한 체결부가 형성되어 있고, 고정 부재가 지지대의 관통홀에 삽입 관통된 상태로 체결부와 체결됨으로써, 지지대 및 기대가 고정되어 있어도 된다. 이 경우, 기대의 표면측에는 지지대에 고정하기 위한 관통홀 또는 나사홀이 형성되지 않기 때문에, 온도차가 현저하게 발생하는 부분을 없앨 수 있다. 따라서, 열 응력 변형에 의해 구성 부재가 파괴될 가능성을 저감시키고, 결과적으로, 열 응력 변형에 의해 제한되는 피처리체와 포커스 링의 설정 가능 온도차를 크게 할 수 있다.
본 발명의 다른 측면에 따른 플라즈마 처리 장치는, 처리 용기, 가스 공급부, 제 1 전극 및 재치대를 구비한다. 처리 용기는 플라즈마가 생성되는 처리 공간을 구획 형성한다. 가스 공급부는 처리 공간 내로 처리 가스를 공급한다. 제 1 전극은 처리 공간에 설치된다. 재치대는 처리 공간 내에 수용되고 피처리체를 재치한다. 이 재치대는 기대, 재치 부재, 포커스 링, 제 1 전열 부재 및 제 2 전열 부재를 구비한다. 기대는 이면 및 이면에 대향하는 표면을 가지고, 그 내부에 냉매 유로가 형성되어 있다. 이면에는, 전압 인가 가능한 급전 부재가 접속되어 있다. 표면에는, 기대의 내부에서 저면을 가지는 홈부가 표면과 직교하는 방향에서 봤을 때 환상으로 형성되어 있다. 홈부에 의해 상기 홈부의 내측의 원기둥 형상의 내측 기대부와 이 홈부의 외측의 환상의 외측 기대부가 형성되어 있다. 재치 부재는 내측 기대부에 지지되고, 피처리체가 재치되는 재치면을 가진다. 환상의 포커스 링은 외측 기대부에 지지되고, 재치면에 직교하는 방향에서 봤을 때 재치면의 주위를 둘러싸도록 배치된다. 포커스 링은, 그 내측 측면에, 재치면에 직교하는 방향에서 봤을 때 홈부를 덮도록 직경 방향 내측으로 돌출된 볼록부를 가진다. 제 1 전열 부재는, 재치면과 냉매 유로의 사이에 개재한다. 제 2 전열 부재는, 포커스 링과 냉매 유로의 사이에 개재한다.
이 플라즈마 처리 장치에 의하면, 상술한 재치대와 동일한 효과를 나타낸다.
본 발명의 각종 측면 및 실시예에 따르면, 피처리체의 온도 제어와 포커스 링의 온도 제어를 정확하게 독립시키면서, 열 응력 변형에 의해 제한되는 피처리체와 포커스 링의 설정 가능 온도차를 크게 할 수 있고, 또한 피처리체면 상 및 포커스 링면 상에서 균일한 시스 전계를 간이한 구성으로 형성하는 것이 가능한 재치대 및 플라즈마 처리 장치를 제공할 수 있다.
도 1은 일실시예에 따른 플라즈마 처리 장치의 구성을 도시한 개략 단면도이다.
도 2는 도 1의 플라즈마 처리 장치에서의 재치대를 도시한 개략 단면도이다.
도 3은 도 1의 플라즈마 처리 장치에서의 재치대의 작용 효과를 설명하는 개요도이다.
도 4는 일실시예에 따른 플라즈마 처리 장치의 재치대의 변형예이다.
도 5는 일실시예에 따른 플라즈마 처리 장치의 재치대의 변형예이다.
도 6a 및 도 6b는 피처리체인 웨이퍼의 가공 형상의 웨이퍼 중심 거리 의존성을 나타낸 그래프이다.
도 7a 및 도 7b는 에칭 레이트(E / R)의 웨이퍼 위치 의존성을 나타낸 그래프이다.
도 8은 일반적인 재치대 구성을 도시한 개략 단면도이다.
도 9는 재치대 중심으로부터의 거리(반경)마다 온도를 계측한 그래프이다.
도 10은 정전 척에 발생하는 최대 응력과 온도차의 상관을 나타낸 그래프이다.
이하에, 도면을 참조하여 각종 실시예에 대하여 상세히 설명한다. 또한 각 도면에서 동일 또는 상당의 부분에 대해서는 동일한 부호를 부여하는 것으로 한다. 또한 '상', '하'의 표현은, 도시하는 상태에 기초하는 것이며, 편의적인 것이다.
도 1은, 본 실시예에 따른 플라즈마 처리 장치의 구성을 도시한 개략 단면도이다. 플라즈마 처리 장치는, 기밀하게 구성되고, 전기적으로 접지 전위가 된 처리 용기(1)를 가지고 있다. 이 처리 용기(1)는 원통 형상으로 이루어지고, 예를 들면 알루미늄 등으로 구성되어 있다. 처리 용기(1)는 플라즈마가 생성되는 처리 공간을 구획 형성한다. 처리 용기(1) 내에는, 피처리체(work piece)인 반도체 웨이퍼(이하, 단순히 '웨이퍼'라고 함)(W)를 수평으로 지지하는 재치대(2)가 수용되어 있다. 재치대(2)는 기대(3) 및 정전 척(6)(재치 부재)을 포함하여 구성되어 있다. 기대(3)는 도전성의 금속, 예를 들면 알루미늄 등으로 구성되어 있고, 하부 전극으로서의 기능을 가진다. 정전 척(6)은 웨이퍼(W)을 정전 흡착하기 위한 기능을 가진다. 기대(3)는 절연체의 지지대(4)에 지지되어 있고, 지지대(4)가 처리 용기(1)의 저부에 설치되어 있다. 또한 기대(3)의 상방의 외주에는, 예를 들면 단결정 실리콘으로 형성된 포커스 링(5)이 스페이서 부재(7)를 개재하여 설치되어 있다.
기대(3)에는 급전봉(50)(급전 부재)이 접속되어 있다. 급전봉(50)에는, 제 1 정합기(11a)를 개재하여 제 1 RF 전원(10a)이 접속되고, 또한 제 2 정합기(11b)를 개재하여 제 2 RF 전원(10b)이 접속되어 있다. 제 1 RF 전원(10a)은 플라즈마 발생용의 것이며, 이 제 1 RF 전원(10a)으로부터는 소정의 주파수의 고주파 전력이 재치대(2)의 기대(3)로 공급되도록 구성되어 있다. 또한, 제 2 RF 전원(10b)은 이온 인입용(바이어스용)의 것이며, 이 제 2 RF 전원(10b)으로부터는 제 1 RF 전원(10a)보다 낮은 소정 주파수의 고주파 전력이 재치대(2)의 기대(3)로 공급되도록 구성되어 있다. 이와 같이, 재치대(2)는 전압 인가 가능하게 구성되어 있다.
정전 척(6)은 상기 절연체(6b)의 사이에 전극(6a)을 개재시켜 구성되어 있고, 전극(6a)에는 직류 전원(12)이 접속되어 있다. 그리고, 전극(6a)에 직류 전원(12)으로부터 직류 전압이 인가됨으로써, 쿨롱력에 의해 웨이퍼(W)가 흡착되도록 구성되어 있다.
정전 척(6)에는 가열 소자인 히터(6c)(제 1 전열 부재)가 설치되어 있다. 이들 히터(6c)는 히터 전원(14)에 접속되어 있다. 히터(6c)는 예를 들면 재치대(2)의 중심을 둘러싸도록 환상으로 연장되어 있다. 이 히터(6c)는, 예를 들면 중심 영역을 가열하는 히터와, 중심 영역의 외측을 둘러싸도록 환상으로 연장되는 히터를 포함해도 된다. 이 경우, 웨이퍼(W)의 온도를, 당해 웨이퍼(W)의 중심에 대하여 방사 방향에 위치하는 복수의 영역마다 제어할 수 있다. 또한, 포커스 링(5)이 재치된 스페이서 부재(7)는 환상 부재로서, 그 내부에는 가열 소자인 히터(7c)(제 2 전열 부재)가 설치되어 있다. 히터(7c)는 히터 전원(14)에 접속되어 있다. 포커스 링(5)의 온도는 히터(7c)에 의해 제어된다. 이와 같이, 웨이퍼(W)의 온도와 포커스 링(5)의 온도는 상이한 히터에 의해 독립으로 제어된다.
기대(3)의 내부에는 냉매 유로(2d)가 형성되어 있고, 냉매 유로(2d)에는 냉매 입구 배관(2b), 냉매 출구 배관(2c)이 접속되어 있다. 그리고, 냉매 유로(2d) 내에 적당한 냉매, 예를 들면 냉각수 등을 순환시킴으로써, 재치대(2)를 소정의 온도로 제어 가능하게 구성되어 있다. 또한 재치대(2) 등을 관통하도록, 웨이퍼(W)의 이면에 헬륨 가스 등의 냉열 전달용 가스(백 사이드 가스)를 공급하기 위한 가스 공급관(미도시)이 형성되어도 된다. 가스 공급관은 도시하지 않은 가스 공급원에 접속되어 있다. 이들 구성에 의해, 재치대(2)의 상면에 정전 척(6)에 의해 흡착 보지(保持)된 웨이퍼(W)를 소정의 온도로 제어한다.
한편 재치대(2)의 상방에는, 재치대(2)와 평행하게 대향하도록, 상부 전극으로서의 기능을 가지는 샤워 헤드(16)가 설치되어 있고, 샤워 헤드(16)와 재치대(2)는 한 쌍의 전극(상부 전극과 하부 전극)으로서 기능한다.
샤워 헤드(16)는 처리 용기(1)의 천벽 부분에 설치되어 있다. 샤워 헤드(16)는 본체부(16a)와 전극판을 이루는 상부 천판(16b)을 구비하고 있고, 절연성 부재(95)를 개재하여 처리 용기(1)의 상부에 지지된다. 본체부(16a)는 도전성 재료, 예를 들면 표면이 양극 산화 처리된 알루미늄으로 이루어지고, 그 하부에 상부 천판(16b)을 착탈 가능하게 지지할 수 있도록 구성되어 있다.
본체부(16a)의 내부에는 가스 확산실(16c)이 설치되고, 이 가스 확산실(16c)의 하부에 위치하도록, 본체부(16a)의 저부에는, 다수의 가스 통류홀(16d)이 형성되어 있다. 또한 상부 천판(16b)에는, 당해 상부 천판(16b)을 두께 방향으로 관통하도록 가스 도입홀(16e)이, 상기한 가스 통류홀(16d)과 연통되도록 형성되어 있다. 이러한 구성에 의해, 가스 확산실(16c)로 공급된 처리 가스는, 가스 통류홀(16d) 및 가스 도입홀(16e)을 거쳐 처리 용기(1) 내에 샤워 형상으로 분산되어 공급된다.
본체부(16a)에는, 가스 확산실(16c)로 처리 가스를 도입하기 위한 가스 도입구(16g)가 형성되어 있다. 이 가스 도입구(16g)에는 가스 공급 배관(15a)이 접속되어 있고, 이 가스 공급 배관(15a)의 타단에는, 처리 가스를 공급하는 처리 가스 공급원(가스 공급부)(15)이 접속된다. 가스 공급 배관(15a)에는, 상류측으로부터 차례로 매스 플로우 컨트롤러(MFC)(15b) 및 개폐 밸브(V2)가 설치되어 있다. 그리고, 처리 가스 공급원(15)으로부터 플라즈마 에칭을 위한 처리 가스가, 가스 공급 배관(15a)을 거쳐 가스 확산실(16c)로 공급되고, 이 가스 확산실(16c)로부터, 가스 통류홀(16d) 및 가스 도입홀(16e)을 거쳐 처리 용기(1) 내에 샤워 형상으로 분산되어 공급된다.
상기한 상부 전극으로서의 샤워 헤드(16)에는, 로우 패스 필터(LPF)(71)를 개재하여 가변 직류 전원(72)이 전기적으로 접속되어 있다. 이 가변 직류 전원(72)은, 온·오프 스위치(73)에 의해 급전의 온·오프가 가능하게 구성되어 있다. 가변 직류 전원(72)의 전류·전압 및 온·오프 스위치(73)의 온·오프는, 후술하는 제어부(90)에 의해 제어된다. 또한 후술한 바와 같이, 제 1 RF 전원(10a), 제 2 RF 전원(10b)으로부터 고주파가 재치대(2)에 인가되어 처리 공간에 플라즈마가 발생할 시에는, 필요에 따라 제어부(90)에 의해 온·오프 스위치(73)가 온이 되고, 상부 전극으로서의 샤워 헤드(16)에 소정의 직류 전압이 인가된다.
처리 용기(1)의 측벽으로부터 샤워 헤드(16)의 높이 위치보다 상방으로 연장되도록 원통 형상의 접지 도체(1a)가 설치되어 있다. 이 원통 형상의 접지 도체(1a)는 그 상부에 천벽을 가지고 있다.
처리 용기(1)의 저부에는 배기구(81)가 형성되어 있고, 이 배기구(81)에는, 배기관(82)을 개재하여 제 1 배기 장치(83)가 접속되어 있다. 제 1 배기 장치(83)는 진공 펌프를 가지고 있고, 이 진공 펌프를 작동시킴으로써 처리 용기(1) 내를 소정의 진공도까지 감압할 수 있도록 구성되어 있다. 한편, 처리 용기(1) 내의 측벽에는 웨이퍼(W)의 반입출구(84)가 형성되어 있고, 이 반입출구(84)에는, 당해 반입출구(84)를 개폐하는 게이트 밸브(85)가 설치되어 있다.
처리 용기(1)의 측부 내측에는, 내벽면을 따라 퇴적물 실드(86)가 설치되어 있다. 퇴적물 실드(86)는 처리 용기(1)에 에칭 부생성물(퇴적물)이 부착하는 것을 방지한다. 이 퇴적물 실드(86)의 웨이퍼(W)와 대략 동일한 높이 위치에는, 그라운드에 대한 전위가 제어 가능하게 접속된 도전성 부재(GND 블록)(89)가 설치되어 있고, 이에 의해 이상 방전이 방지된다. 또한 퇴적물 실드(86)의 하단부에는, 재치대(2)를 따라 연장되는 퇴적물 실드(87)가 설치되어 있다. 퇴적물 실드(86, 87)는 착탈 가능하게 되어 있다.
상기 구성의 플라즈마 처리 장치는, 제어부(90)에 의해 그 동작이 통괄적으로 제어된다. 이 제어부(90)에는, CPU를 구비하고 플라즈마 처리 장치의 각 부를 제어하는 프로세스 컨트롤러(91)와, 유저 인터페이스(92)와, 기억부(93)가 설치되어 있다.
유저 인터페이스(92)는, 공정 관리자가 플라즈마 처리 장치를 관리하기 위하여 커멘드의 입력 조작을 행하는 키보드, 및 플라즈마 처리 장치의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 구성되어 있다.
기억부(93)에는, 플라즈마 처리 장치에서 실행되는 각종 처리를 프로세스 컨트롤러(91)의 제어로 실현하기 위한 제어 프로그램(소프트웨어) 또는 처리 조건 데이터 등이 기억된 레시피가 저장되어 있다. 그리고 필요에 따라서, 유저 인터페이스(92)로부터의 지시 등으로 임의의 레시피를 기억부(93)로부터 호출하여 프로세스 컨트롤러(91)에 실행시킴으로써, 프로세스 컨트롤러(91)의 제어하에서 플라즈마 처리 장치에서의 원하는 처리가 행해진다. 또한 제어 프로그램 또는 처리 조건 데이터 등의 레시피는, 컴퓨터로 판독 가능한 컴퓨터 기억 매체(예를 들면 하드 디스크, CD, 플렉시블 디스크, 반도체 메모리 등) 등에 저장된 상태의 것을 이용하거나, 또는 다른 장치로부터, 예를 들면 전용 회선을 개재하여 수시 전송시켜 온라인으로 사용하는 것도 가능하다.
이어서 도 2를 참조하여, 재치대(2)의 주요부 구성에 대하여 설명한다. 도 2는, 도 1의 플라즈마 처리 장치에서의 재치대(2)를 도시한 개략 단면도이다.
기대(3)는 예를 들면 대략 원기둥 형상을 나타내고, 이면(3c) 및 이면(3c)에 대향하는 표면(상면(3d), 상면(3e))을 가진다. 기대(3)의 이면(3c)에는, 급전봉(50)이 기대(3)의 축선(Z)을 따라 접속되어 있다. 또한 기대(3)의 이면(3c)에는, 지지대(4)와의 조립 시에 이용되는 관통홀(3f ~ 3i)이 형성되어 있다. 기대(3)와 지지대(4)의 조립에 대해서는 후술한다. 또한 도면 중에서는 4 개의 관통홀을 도시하고 있지만, 관통홀은, 기대(3)의 축선(Z)을 둘러싸도록 환상 또한 둘레 방향으로 등간격으로 형성된다. 그리고, 도면 중에서는 관통홀(3f, 3i)이 이면(3c)의 외연을 따라 환상으로 배열되고, 그들의 내측에 관통홀(3g, 3h)이 환상으로 배열되어 있다. 여기서는 이중의 환상으로 배열된 관통홀을 설명했지만, 관통홀의 형성 위치는 적절히 설정하면 된다. 예를 들면, 기대(3)의 외연에만 배열되도록 관통홀을 형성해도 된다.
기대(3)의 표면에는, 기대(3)의 축선(Z)을 둘러싸도록 환상으로 홈부(13)가 형성되어 있다. 즉, 홈부(13)는 기대(3)의 표면에 직교하는 방향에서 봤을 때 환상으로 형성되어 있다. 또한 홈부(13)는, 연속적으로 환상으로 형성되어 있어도 되고, 단속적으로 환상으로 형성되어 있어도 된다. 홈부(13)에 의해, 기대(3)의 상부는, 기대(3)의 표면에 직교하는 방향에서 봤을 때 원형의 내측 기대부(3a)와, 기대(3)의 표면에 직교하는 방향에서 봤을 때 환상의 외측 기대부(3b)로 분할된다. 원기둥 형상의 내측 기대부(3a)의 축선은 기대(3)의 축선(Z)에 일치한다. 또한 외측 기대부(3b)는, 기대(3)의 축선(Z) 즉 내측 기대부(3a)의 축선을 둘러싸도록 형성된다. 내측 기대부(3a)는 정전 척(6)을 지지하는 원형의 상면(3d)을 가진다. 외측 기대부(3b)는 포커스 링(5)을 지지하는 환상의 상면(3e)을 가진다. 이와 같이, 기대(3)의 표면은, 홈부(13)에 의해 원형의 상면(3d) 및 환상의 상면(3e)으로 분할된다.
상면(3d) 및 상면(3e)의 높이는, 웨이퍼(W)의 두께, 포커스 링(5)의 두께, 또는 웨이퍼(W)와 내측 기대부(3a)의 사이에 개재하는 재료의 두께 또는 물성, 포커스 링(5)과 외측 기대부(3b)의 사이에 개재하는 재료의 두께 또는 물성에 따라, 웨이퍼(W)에의 열의 전달 또는 RF 전력과, 포커스 링(5)에의 열의 전달 또는 RF 전력이 일치하도록 적절히 조정된다. 즉 도면에서는, 상면(3d) 및 상면(3e)의 높이가 일치하지 않은 경우를 예시하고 있지만, 양자가 일치해도 된다.
기대(3)의 내부에 형성된 냉매 유로(2d)(도 2 참조)는, 홈부(13)보다 기대(3)의 내측에 위치하는 내측의 냉매 유로(2e)와, 홈부(13)보다 기대(3)의 외연에 위치하는 외측의 냉매 유로(2f)를 포함한다. 내측의 냉매 유로(2e)는, 내측 기대부(3a)의 상면(3d)의 하방에 형성된다. 외측의 냉매 유로(2f)는, 외측 기대부(3b)의 상면(3e)의 하방에 형성된다. 즉, 내측의 냉매 유로(2e)는 웨이퍼(W)의 하방에 위치하여 웨이퍼(W)의 열을 흡열하도록 기능하고, 외측의 냉매 유로(2f)는, 포커스 링(5)의 하방에 위치하여 포커스 링(5)의 열을 흡열하도록 기능한다. 또한, 내측의 냉매 유로(2e)와 외측의 냉매 유로(2f)를 상이한 냉각 기구에 접속하여, 상이한 온도의 냉매를 유통시켜도 된다.
홈부(13)는 기대(3)의 내부에서 저면(13a)을 가진다. 즉, 내측 기대부(3a) 및 외측 기대부(3b)는 홈부(13)의 하방에서 서로 접속되어 있다. 기대(3)의 이면(3c)의 높이 위치(P)를 기준으로 하면, 저면(13a)의 높이 위치(B)는, 냉매 유로(2e, 2f)의 상단면 중 가장 상방에 위치하는 상단면의 높이와 동일 위치, 또는 냉매 유로(2e, 2f)의 상단면 중 가장 상방에 위치하는 상단면의 높이보다 하방이 된다. 도 2에서는, 냉매 유로(2e, 2f)의 상단면의 높이는 동일한 높이(H1)인 경우를 도시하고 있다. 이 때문에, 홈부(13)의 저면(13a)의 높이 위치(B)는 높이(H1)와 동일하거나, 높이(H1)보다 하방이 되면 된다. 이와 같이, 적어도 냉매 유로(2e, 2f)의 상단면까지 홈부(13)가 형성되어 있음으로써, 냉매 유로(2e, 2f)의 상방에서 공간을 형성하여, 물리적인 연속성을 끊음으로써, 기대(3) 내부에서 수평 방향의 열 유속을 차단할 수 있다. 당해 공간은, 플라즈마 처리 중에는 진공 공간이 되기 때문에, 진공 단열이 가능하다.
정전 척(6)은, 내측 기대부(3a)의 상면(3d) 상에 접착재(9b)를 개재하여 설치되어 있다. 정전 척(6)은 원판 형상을 나타내고, 기대(3)의 축선(Z)과 동축이 되도록 설치되어 있다. 정전 척(6)의 상단에는, 웨이퍼(W)를 재치하기 위한 재치면(6d)이 형성되어 있다. 재치면(6d)은 원형을 나타내고, 웨이퍼(W)의 이면과 접촉하여 원판 형상의 웨이퍼(W)를 지지한다. 또한 정전 척(6)의 하단에는, 정전 척(6)의 직경 방향 외측으로 돌출된 플랜지부(6e)가 형성되어 있다. 즉 정전 척(6)은, 측면의 위치에 따라 외경이 상이하다. 또한 정전 척(6)은, 절연체(6b)의 사이에 전극(6a) 및 히터(6c)를 개재시켜 구성되어 있다. 도면 중에서는, 전극(6a)의 하방에 히터(6c)가 개재되어 있다. 히터(6c)에 의해 재치면(6d)이 가열 제어된다. 또한 히터(6c)는, 정전 척(6) 내부에 존재 하지 않아도 된다. 예를 들면, 접착재(9b)에 의해 정전 척(6)의 이면에 부착되어 있어도 되고, 재치면(6d)과 냉매 유로(2e)의 사이에 개재하면 된다.
포커스 링(5)은, 예를 들면 스페이서 부재(7)를 개재하여 외측 기대부(3b)에 지지되어 있다. 포커스 링(5)은 원환(圓環) 형상의 부재로서, 기대(3)의 축선(Z)과 동축이 되도록 설치되어 있다. 포커스 링(5)의 내측 측면에는, 직경 방향 내측으로 돌출된 볼록부(5a)가 형성되어 있다. 즉 포커스 링(5)은, 내측 측면의 위치에 따라 내경이 상이하다. 예를 들면, 볼록부(5a)가 형성되어 있지 않은 개소의 내경은, 웨이퍼(W)의 외경 및 정전 척(6)의 플랜지부(6e)의 외경보다 크다. 한편, 볼록부(5a)가 형성된 개소의 내경은, 정전 척(6)의 플랜지부(6e)의 외경보다 작고, 또한 정전 척(6)의 플랜지부(6e)가 형성되어 있지 않은 개소의 외경보다 크다.
포커스 링(5)은, 볼록부(5a)가 정전 척(6)의 플랜지부(6e)의 상면과 이간하고, 또한 정전 척(6)의 측면으로부터도 이간한 상태가 되도록 스페이서 부재(7) 상면에 배치된다. 즉, 포커스 링(5)의 볼록부(5a)의 하면과 정전 척(6)의 플랜지부(6e)의 상면의 사이, 포커스 링(5)의 볼록부(5a)의 측면과 정전 척(6)의 플랜지부(6e)가 형성되어 있지 않은 측면과의 사이에는, 극간이 형성되어 있다. 그리고, 포커스 링의 볼록부(5a)는 홈부(13)의 상방에 위치한다. 즉, 재치면(6d)과 직교하는 방향에서 봤을 때, 볼록부(5a)는, 홈부(13)와 중첩되는 위치에 존재하고 이 홈부(13)를 덮고 있다. 이에 의해, 플라즈마가 홈부(13)로 진입하는 것을 방지할 수 있다.
스페이서 부재(7)는 환상의 부재로서, 기대(3)의 축선(Z)과 동축이 되도록 설치되어 있다. 스페이서 부재(7)는, 외측 기대부(3b)의 상면(3e) 상에 접착재(9a)를 개재하여 설치되어 있다. 스페이서 부재(7)는, 예를 들면 세라믹 등의 절연체로 형성된다. 스페이서 부재(7)는, 상면(7d)에서 포커스 링(5)과 접촉하여 포커스 링(5)을 지지한다. 포커스 링(5)은 스페이서 부재(7)에 지지됨으로써, 웨이퍼(W)(정전 척(6)의 재치면(6d))의 주위를 둘러싸도록 설치되어 있다. 스페이서 부재(7)는, 그 내부에 히터(7c)를 개재시켜 구성되어 있다. 히터(7c)에 의해 스페이서 부재(7)의 상면(7d)(포커스 링(5)의 재치면)이 가열 제어된다. 또한 히터(7c)는, 스페이서 부재(7) 내부에 존재하지 않아도 된다. 예를 들면, 접착재(9a)에 의해 스페이서 부재(7)의 이면에 부착되어 있어도 되고, 포커스 링(5)과 냉매 유로(2f)의 사이에 개재하면 된다.
기대(3)는, 예를 들면 세라믹 등의 절연체로 이루어지는 원기둥 형상의 지지대(4)에 의해 지지되어 있다. 지지대(4)는, 지지대(4)의 이면측으로부터 나사를 삽입 관통 가능한 관통홀(4a ~ 4d)을 가진다. 이 관통홀(4a ~ 4d)은 기대(3)의 관통홀(3f ~ 3i)과 대응하는 위치에 형성되어 있다. 기대(3)의 관통홀(3f ~ 3i)의 내측에는, 나사(8a ~ 8d)(고정 부재)와 체결 가능한 나사부(체결부)가 형성되어 있다. 또한, 관통홀(4a ~ 4d)에도 나사(8a ~ 8d)(고정 부재)와 체결 가능한 나사부(체결부)가 형성되어 있어도 된다. 지지대(4)의 관통홀(4a ~ 4d)과 기대(3)의 관통홀(3f ~ 3i)이 중첩되도록 기대(3)와 지지대(4)를 위치 결정하고, 나사(8a ~ 8d)를 지지대(4)의 이면측으로부터 삽입 관통시켜 나사 고정함으로써, 기대(3)와 지지대(4)가 연결되어 고정된다.
이어서, 도 3을 이용하여 재치대(2)의 작용 효과를 설명한다. 도 3은, 재치대(2)의 작용 효과를 설명하는 개요도이다. 도 3에 도시한 바와 같이, 재치대(2)에서는, 정전 척(6)이 내측 기대부(3a)에 의해 지지되고, 포커스 링(5)이 외측 기대부(3b)에 의해 지지된다. 내측 기대부(3a) 및 외측 기대부(3b)를 분리하는 홈부(13)(공간)에 의해, 정전 척(6) 및 포커스 링(5)이 열적으로 분리되어 있다. 이 때문에, 화살표(D)로 나타내는 수평 방향(재치대(2)의 직경 방향)의 열의 이동이 억제되고, 웨이퍼(W)의 열은, 화살표(E)로 나타낸 바와 같이 정전 척(6)으로부터 내측의 냉매 유로(2e)로, 즉 수직 방향으로 이동한다. 마찬가지로, 포커스 링(5)의 열은, 화살표(A)로 나타낸 바와 같이 외측의 냉매 유로(2f)로, 즉 수직 방향으로 이동한다. 이와 같이, 홈부(13)의 저면(13a)보다 상방에 존재하는 부재 사이에서 수평 방향의 열의 이동이 억제된다. 예를 들면 웨이퍼(W), 정전 척(6), 접착재(9b) 및 내측 기대부(3a)의 상면(3d)으로부터 내측의 냉매 유로(2e)의 상단면에 개재하는 기대 부분과, 포커스 링(5), 스페이서 부재(7), 접착재(9a) 및 외측 기대부(3b)의 상면(3e)로부터 외측의 냉매 유로(2f)의 상단면에 개재하는 기대 부분이 공간을 두고 분리되어, 열의 이동이 억제된다. 이 때문에, 웨이퍼(W)의 온도 제어와 포커스 링(5)의 온도 제어를 정확하게 독립시킬 수 있다.
또한, 내측 기대부(3a) 및 외측 기대부(3b)가 홈부(13)에 의해 공간을 두고 분리되어 있기 때문에, 내측 기대부(3a) 및 정전 척(6)과, 외측 기대부(3b) 및 포커스 링(5)과의 열 팽창에 차이가 생겼을 경우라도, 열 응력 변형에 의해 구성 부재가 파괴되지 않는다. 또한, 나사(8c, 8d)는 기대(3)의 이면(3c)측에 장착되어 있다. 이에 의해, 기대(3)의 표면측에는 지지대(4)에 고정하기 위한 관통홀 또는 나사홀이 형성되지 않기 때문에, 히터(6c 및 7c)를 균일하게 배치할 수 있으므로, 온도차가 현저히 발생하는 부분을 없앨 수 있다. 따라서, 열 응력 변형에 의해 구성 부재가 파괴될 가능성을 저감시키고, 결과로서, 열 응력 변형에 의해 제한되는 웨이퍼(W)와 포커스 링(5)과의 설정 가능 온도차를 크게 할 수 있다. 이와 같이, 홈부(13)는 단열 기능뿐 아니라 응력 변형을 흡수하는 기능도 가진다.
또한, 기대(3)의 이면(3c)에 급전봉(50)이 접속되고, 또한 홈부(13)가 기대(3)의 내부에서 저면(13a)을 가짐으로써, 내측 기대부(3a) 및 외측 기대부(3b)는, 화살표(C)로 나타내는 바와 같이 홈부(13)의 하방에서 서로 접속되어 있다. 이와 같이, 내측 기대부(3a) 및 외측 기대부(3b)는 RF 회로로서 연결되어 있다. 따라서, 웨이퍼(W)면 상 및 포커스 링(5)면 상에 균일한 시스 전계를 간이한 구성으로 형성할 수 있다.
또한 홈부(13)로 진입하려고 하는 플라즈마가 포커스 링(5)의 내측 측면에 형성된 볼록부(5a)에 의해 차단되기 때문에, 홈부(13)의 열화 또는 홈부(13)에서의 이상 방전 등을 방지할 수 있다.
이상, 일실시예에 대하여 기술했지만, 본 발명은 이러한 특정의 실시예에 한정되지 않고, 특허 청구의 범위 내에 기재된 본 발명의 요지의 범위 내에서 각종 변형 또는 변경이 가능하다.
예를 들면 도 4에 도시한 바와 같이, 기대(3) 내부의 내측의 냉매 유로(2e)의 높이(H2)가, 외측의 냉매 유로(2f)의 높이(H3)보다 높은 경우여도 된다. 이 경우, 홈부(13)의 저면(13a)의 높이(B)는, 냉매 유로(2e, 2f) 중 가장 상방에 위치하는 냉매 유로(2e)의 상단면의 높이(H2)와 동일하거나, 또는 높이(H2)보다 하방에 형성된다. 또한, 예를 들면 도 5에 도시한 바와 같이, 기대(3) 내부의 내측의 냉매 유로(2e)의 높이(H2)가, 외측의 냉매 유로(2f)의 높이(H3)보다 낮은 경우여도 된다. 이 경우, 홈부(13)의 저면(13a)의 높이(B)는, 냉매 유로(2e, 2f) 중 가장 상방에 위치하는 냉매 유로(2f)의 상단면의 높이(H3)와 동일하거나, 또는 높이(H3)보다 하방에 형성된다. 이와 같이, 홈부(13)의 저면은, 외측의 냉매 유로(2f)와 내측의 냉매 유로(2e)의 상방에 존재하는 알루미늄 등의 기재 부분으로 이루어지는 수평 방향의 열 유로를 차단하는 높이에 형성된다.
또한 상술한 실시예에서는, 기대(3)가 일체적으로 형성되어 있는 예를 설명했지만, 별체로서 형성한 것을 조합하여 기대(3)로 해도 된다. 예를 들면, 외측 기대부(3b)를 별체로 해도 된다. 외측 기대부(3b)를 별체로 한 경우, 홈부(13)의 내부에 용사 등의 표면 처리를 용이하게 할 수 있다.
또한, 플라즈마 처리 장치는 마이크로파에 의해 발생시킨 플라즈마를 이용하고 있어도 된다.
1 : 처리 용기
2 : 재치대
3 : 기대
4 : 지지대
5 : 포커스 링
6 : 정전 척(재치 부재)
6a : 전극
6c : 히터(제 1 전열 부재)
7 : 스페이서 부재
7c : 히터(제 2 전열 부재)
13 : 홈부
13a : 저면

Claims (10)

  1. 피처리체를 재치하는 재치대로서,
    이면 및 상기 이면에 대향하는 표면을 가지고, 그 내부에 냉매 유로가 형성된 기대로서, 상기 이면에는, 전압 인가 가능한 급전 부재가 접속되어 있고, 상기 표면에는, 상기 기대의 내부에서 저면을 가지는 홈부가 상기 표면과 직교하는 방향에서 봤을 때 환상으로 형성되고, 상기 홈부에 의해 상기 홈부의 내측의 원기둥 형상의 내측 기대부와 상기 홈부의 외측의 환상의 외측 기대부가 형성되어 있는 기대와,
    상기 내측 기대부에 지지되고, 상기 피처리체가 재치되는 재치면을 가지는 재치 부재와,
    상기 외측 기대부에 지지되고, 상기 재치면에 직교하는 방향에서 봤을 때 상기 재치면의 주위를 둘러싸도록 배치되고, 그 내측 측면에, 상기 재치면에 직교하는 방향에서 봤을 때 상기 홈부를 덮도록 직경 방향 내측으로 돌출된 볼록부를 가지는 환상의 포커스 링과,
    상기 재치면과 상기 냉매 유로의 사이에 개재하는 제 1 전열 부재와,
    상기 포커스 링과 상기 냉매 유로의 사이에 개재하는 제 2 전열 부재를 구비하고,
    상기 홈부의 저면은, 상기 냉매 유로의 상단면 중 가장 상방에 위치하는 상단면의 높이와 동일 위치에 형성되고, 또는 상기 냉매 유로의 상단면 중 가장 상방에 위치하는 상단면의 높이보다 하방에 형성됨으로써, 상기 홈부의 저면으로부터 상기 포커스 링까지 연장되는 공간이 형성되는 재치대.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이가, 상기 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 높고,
    상기 홈부의 저면은, 상기 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이와 동일 위치에 형성되고, 또는 상기 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 하방에 형성되는 재치대.
  4. 제 1 항에 있어서,
    상기 내측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이가, 상기 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 낮고,
    상기 홈부의 저면은, 상기 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이와 동일 위치에 형성되고, 또는 상기 외측 기대부의 내부에 형성된 냉매 유로의 상단면의 높이보다 하방에 형성되는 재치대.
  5. 제 1 항, 제 3 항 및 제 4 항 중 어느 한 항에 있어서,
    상기 재치 부재는, 그 내부에 상기 제 1 전열 부재를 포함하는 재치대.
  6. 제 5 항에 있어서,
    상기 재치 부재는, 그 내부로서, 상기 제 1 전열 부재의 상방에, 상기 피처리체를 정전 흡착하기 위한 전극을 더 포함하는 재치대.
  7. 제 1 항, 제 3 항 및 제 4 항 중 어느 한 항에 있어서,
    상기 포커스 링과 상기 외측 기대부의 사이에 개재하는 스페이서 부재를 더 구비하고,
    상기 스페이서 부재는, 그 내부에 상기 제 2 전열 부재를 포함하는 재치대.
  8. 제 1 항, 제 3 항 및 제 4 항 중 어느 한 항에 있어서,
    상기 냉매 유로는, 상기 재치 부재 및 상기 포커스 링의 하방에 배치되는 재치대.
  9. 제 1 항, 제 3 항 및 제 4 항 중 어느 한 항에 있어서,
    상기 기대의 상기 이면을 지지하고, 관통홀이 형성된 지지대와,
    상기 관통홀에 삽입 관통 가능한 고정 부재를 더 구비하고,
    상기 기대의 상기 이면에는 상기 고정 부재와 체결 가능한 체결부가 형성되어 있고,
    상기 고정 부재가 상기 지지대의 상기 관통홀에 삽입 관통된 상태로 상기 체결부와 체결됨으로써, 상기 지지대 및 상기 기대가 고정되는 재치대.
  10. 플라즈마가 생성되는 처리 공간을 구획 형성하는 처리 용기와,
    상기 처리 공간 내로 처리 가스를 공급하는 가스 공급부와,
    상기 처리 공간에 설치된 제 1 전극과,
    상기 처리 공간 내에 수용되고, 피처리체를 재치하는 재치대를 구비하고,
    상기 재치대는,
    이면 및 상기 이면에 대향하는 표면을 가지고, 그 내부에 냉매 유로가 형성된 기대로서, 상기 이면에는, 전압 인가 가능한 급전 부재가 접속되어 있고, 상기 표면에는, 상기 기대의 내부에서 저면을 가지는 홈부가 상기 표면과 직교하는 방향에서 봤을 때 환상으로 형성되고, 상기 홈부에 의해 상기 홈부의 내측의 원기둥 형상의 내측 기대부와 상기 홈부의 외측의 환상의 외측 기대부가 형성되어 있는 기대와,
    상기 내측 기대부에 지지되고, 상기 피처리체가 재치되는 재치면을 가지는 재치 부재와,
    상기 외측 기대부에 지지되고, 상기 재치면에 직교하는 방향에서 봤을 때 상기 재치면의 주위를 둘러싸도록 배치되고, 그 내측 측면에, 상기 재치면에 직교하는 방향에서 봤을 때 상기 홈부를 덮도록 직경 방향 내측으로 돌출된 볼록부를 가지는 환상의 포커스 링과,
    상기 재치면과 상기 냉매 유로의 사이에 개재하는 제 1 전열 부재와,
    상기 포커스 링과 상기 냉매 유로의 사이에 개재하는 제 2 전열 부재를 구비하고,
    상기 홈부의 저면은, 상기 냉매 유로의 상단면 중 가장 상방에 위치하는 상단면의 높이와 동일 위치에 형성되고, 또는 상기 냉매 유로의 상단면 중 가장 상방에 위치하는 상단면의 높이보다 하방에 형성됨으로써, 상기 홈부의 저면으로부터 상기 포커스 링까지 연장되는 공간이 형성되는 플라즈마 처리 장치.
KR1020140011360A 2013-01-31 2014-01-29 재치대 및 플라즈마 처리 장치 KR102428060B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220093669A KR102553457B1 (ko) 2013-01-31 2022-07-28 재치대 및 플라즈마 처리 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013016703A JP6080571B2 (ja) 2013-01-31 2013-01-31 載置台及びプラズマ処理装置
JPJP-P-2013-016703 2013-01-31

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020210047896A Division KR20210045376A (ko) 2013-01-31 2021-04-13 재치대 및 플라즈마 처리 장치
KR1020220093669A Division KR102553457B1 (ko) 2013-01-31 2022-07-28 재치대 및 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
KR20140098707A KR20140098707A (ko) 2014-08-08
KR102428060B1 true KR102428060B1 (ko) 2022-08-03

Family

ID=51221643

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140011360A KR102428060B1 (ko) 2013-01-31 2014-01-29 재치대 및 플라즈마 처리 장치
KR1020210047896A KR20210045376A (ko) 2013-01-31 2021-04-13 재치대 및 플라즈마 처리 장치
KR1020220093669A KR102553457B1 (ko) 2013-01-31 2022-07-28 재치대 및 플라즈마 처리 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020210047896A KR20210045376A (ko) 2013-01-31 2021-04-13 재치대 및 플라즈마 처리 장치
KR1020220093669A KR102553457B1 (ko) 2013-01-31 2022-07-28 재치대 및 플라즈마 처리 장치

Country Status (4)

Country Link
US (2) US10727101B2 (ko)
JP (1) JP6080571B2 (ko)
KR (3) KR102428060B1 (ko)
TW (1) TWI651798B (ko)

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9415519B2 (en) * 2014-07-01 2016-08-16 Varian Semiconductor Equipment Associates, Inc. Composite end effector and method of making a composite end effector
JP6001015B2 (ja) * 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
WO2016052291A1 (ja) * 2014-09-30 2016-04-07 住友大阪セメント株式会社 静電チャック装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
JP6349228B2 (ja) 2014-10-22 2018-06-27 新光電気工業株式会社 静電チャック及びその静電チャックに使用されるベース部材
US10283398B2 (en) * 2014-10-30 2019-05-07 Tokyo Electron Limited Substrate placing table
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6452449B2 (ja) * 2015-01-06 2019-01-16 東京エレクトロン株式会社 載置台及び基板処理装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
JP6530228B2 (ja) * 2015-04-28 2019-06-12 日本特殊陶業株式会社 静電チャック
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
KR101950897B1 (ko) 2015-09-25 2019-02-21 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US11024528B2 (en) * 2015-10-21 2021-06-01 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device having focus ring
JP6530701B2 (ja) * 2015-12-01 2019-06-12 日本特殊陶業株式会社 静電チャック
CN106898574A (zh) * 2015-12-17 2017-06-27 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘机构以及半导体加工设备
US10249526B2 (en) * 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
JP6741461B2 (ja) * 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
JP2017199851A (ja) * 2016-04-28 2017-11-02 株式会社ディスコ 減圧処理装置
JP6183567B1 (ja) * 2016-05-13 2017-08-23 Toto株式会社 静電チャック
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6688167B2 (ja) * 2016-06-15 2020-04-28 日本特殊陶業株式会社 保持装置の製造方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
WO2018038044A1 (ja) * 2016-08-26 2018-03-01 日本碍子株式会社 ウエハ載置台
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102581226B1 (ko) * 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180080520A (ko) 2017-01-04 2018-07-12 삼성전자주식회사 포커스 링 및 이를 포함하는 플라즈마 처리 장치
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
TW202341281A (zh) * 2017-04-26 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置
JP7033926B2 (ja) * 2017-04-26 2022-03-11 東京エレクトロン株式会社 プラズマ処理装置
KR102039969B1 (ko) * 2017-05-12 2019-11-05 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR101927937B1 (ko) * 2017-07-18 2018-12-11 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102332189B1 (ko) * 2017-09-18 2021-12-02 매슨 테크놀로지 인크 플라즈마 처리 장치를 위한 냉각된 포커스 링
JP6966286B2 (ja) * 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102514231B1 (ko) * 2017-10-30 2023-03-24 엔지케이 인슐레이터 엘티디 정전 척 및 그 제조법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP6522180B1 (ja) 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
JP7090465B2 (ja) * 2018-05-10 2022-06-24 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
KR102423380B1 (ko) 2018-09-13 2022-07-22 엔지케이 인슐레이터 엘티디 웨이퍼 배치 장치
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
JP2022520784A (ja) 2019-02-12 2022-04-01 ラム リサーチ コーポレーション セラミックモノリシック本体を備えた静電チャック
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
JP7394556B2 (ja) * 2019-08-09 2023-12-08 東京エレクトロン株式会社 載置台及び基板処理装置
CN112466735A (zh) * 2019-09-09 2021-03-09 东京毅力科创株式会社 基片支承器和等离子体处理装置
JP7373963B2 (ja) * 2019-10-01 2023-11-06 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
JP2021077752A (ja) * 2019-11-07 2021-05-20 東京エレクトロン株式会社 プラズマ処理装置
US11551916B2 (en) * 2020-03-20 2023-01-10 Applied Materials, Inc. Sheath and temperature control of a process kit in a substrate processing chamber
KR102495233B1 (ko) * 2020-07-03 2023-02-06 주식회사 동원파츠 정전척
JP2022042379A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置
CN114843165A (zh) * 2021-02-01 2022-08-02 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置
JP7414751B2 (ja) * 2021-02-04 2024-01-16 日本碍子株式会社 半導体製造装置用部材及びその製法
US11881423B2 (en) * 2021-02-09 2024-01-23 Applied Materials, Inc. Electrostatic chuck with metal bond
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
JP2023069614A (ja) 2021-11-08 2023-05-18 日本碍子株式会社 ウエハ載置台
US20230154781A1 (en) 2021-11-15 2023-05-18 Ngk Insulators, Ltd. Wafer placement table
JP2023161887A (ja) 2022-04-26 2023-11-08 日本碍子株式会社 ウエハ載置台
KR20240046102A (ko) * 2022-09-28 2024-04-08 엔지케이 인슐레이터 엘티디 정전 척 어셈블리
JP2024051768A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ
JP2024051933A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100252334B1 (ko) * 1994-10-20 2000-05-01 조셉 제이. 스위니 웨이퍼 처리 반응로에서의 기판 지지 차폐체
JP3155802B2 (ja) * 1992-02-05 2001-04-16 株式会社東芝 免疫測定装置
JP2004104113A (ja) * 2002-08-22 2004-04-02 Sumitomo Osaka Cement Co Ltd サセプタ装置
KR100807136B1 (ko) * 2000-10-06 2008-02-27 램 리써치 코포레이션 플라즈마 챔버에서 유용한 정전 에지 링 척을 포함하는 커플링 링 어셈블리 및 플라즈마 챔버를 사용하여 반도체 기판을 처리하는 방법
JP2011009351A (ja) * 2009-06-24 2011-01-13 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
JP2003179129A (ja) * 2001-12-11 2003-06-27 Ngk Spark Plug Co Ltd 静電チャック装置
JP4033730B2 (ja) * 2002-07-10 2008-01-16 東京エレクトロン株式会社 プラズマ処理装置用基板載置台及びプラズマ処理装置及びプラズマ処理装置用の基台部
JP2003243492A (ja) * 2003-02-19 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
JP4397271B2 (ja) * 2003-05-12 2010-01-13 東京エレクトロン株式会社 処理装置
JP2005260011A (ja) * 2004-03-12 2005-09-22 Hitachi High-Technologies Corp ウエハ処理装置およびウエハ処理方法
JP2006319043A (ja) 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP3155802U (ja) * 2009-09-17 2009-12-03 日本碍子株式会社 ウエハー載置装置
JP5395633B2 (ja) * 2009-11-17 2014-01-22 東京エレクトロン株式会社 基板処理装置の基板載置台
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
JP2012049166A (ja) * 2010-08-24 2012-03-08 Hitachi High-Technologies Corp 真空処理装置
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6452449B2 (ja) * 2015-01-06 2019-01-16 東京エレクトロン株式会社 載置台及び基板処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3155802B2 (ja) * 1992-02-05 2001-04-16 株式会社東芝 免疫測定装置
KR100252334B1 (ko) * 1994-10-20 2000-05-01 조셉 제이. 스위니 웨이퍼 처리 반응로에서의 기판 지지 차폐체
KR100807136B1 (ko) * 2000-10-06 2008-02-27 램 리써치 코포레이션 플라즈마 챔버에서 유용한 정전 에지 링 척을 포함하는 커플링 링 어셈블리 및 플라즈마 챔버를 사용하여 반도체 기판을 처리하는 방법
JP2004104113A (ja) * 2002-08-22 2004-04-02 Sumitomo Osaka Cement Co Ltd サセプタ装置
JP2011009351A (ja) * 2009-06-24 2011-01-13 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
US20140209245A1 (en) 2014-07-31
TW201438139A (zh) 2014-10-01
JP2014150104A (ja) 2014-08-21
JP6080571B2 (ja) 2017-02-15
TWI651798B (zh) 2019-02-21
KR20140098707A (ko) 2014-08-08
US11705356B2 (en) 2023-07-18
US10727101B2 (en) 2020-07-28
KR102553457B1 (ko) 2023-07-10
KR20220111231A (ko) 2022-08-09
US20200343122A1 (en) 2020-10-29
KR20210045376A (ko) 2021-04-26

Similar Documents

Publication Publication Date Title
KR102553457B1 (ko) 재치대 및 플라즈마 처리 장치
KR102603893B1 (ko) 플라즈마 처리 장치
JP6442296B2 (ja) 載置台及びプラズマ処理装置
CN108281342B (zh) 等离子体处理装置
KR102383357B1 (ko) 배치대 및 기판 처리 장치
US11967511B2 (en) Plasma processing apparatus
US11289356B2 (en) Stage and plasma processing apparatus
JP2018110216A (ja) プラズマ処理装置
JP2018093173A (ja) プラズマ処理装置
CN109390200B (zh) 等离子体处理装置
US11133203B2 (en) Plasma processing apparatus
JP7403215B2 (ja) 基板支持体及び基板処理装置
US10923333B2 (en) Substrate processing apparatus and substrate processing control method
US20210335584A1 (en) Stage and substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2021101000970; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20210419

Effective date: 20220330

GRNO Decision to grant (after opposition)