TW201438139A - 載置台及電漿處理裝置 - Google Patents

載置台及電漿處理裝置 Download PDF

Info

Publication number
TW201438139A
TW201438139A TW103103056A TW103103056A TW201438139A TW 201438139 A TW201438139 A TW 201438139A TW 103103056 A TW103103056 A TW 103103056A TW 103103056 A TW103103056 A TW 103103056A TW 201438139 A TW201438139 A TW 201438139A
Authority
TW
Taiwan
Prior art keywords
mounting
focus ring
flow path
base portion
mounting table
Prior art date
Application number
TW103103056A
Other languages
English (en)
Other versions
TWI651798B (zh
Inventor
Kyouhei Yamamoto
Taira Takase
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201438139A publication Critical patent/TW201438139A/zh
Application granted granted Critical
Publication of TWI651798B publication Critical patent/TWI651798B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Mechanical Engineering (AREA)

Abstract

提供一種可正確地獨立被處理體之溫度控制及聚焦環之溫度控制的載置台。一種載置台,係具備基台、載置構件、聚焦環、第1電熱構件及第2電熱構件。基台係於其內部形成有冷媒流路。內面係連接有可施加電壓的供電構件。表面由與表面正交之方向之方向觀之,係於基台內部環狀地形成具有底面的溝部。藉由溝部形成有該溝部內側的圓柱狀內側基台部與該溝部外側的環狀外側基台部。環狀聚焦環係被外側基台部支撐。聚焦環係於其內側側面具有以從正交於載置面的方向觀之會包覆溝部的方式而朝徑向內側突出的凸部。第1電熱構件係介設於載置面與冷媒流路之間,第2電熱構件係介設於聚焦環與冷媒流路之間。

Description

載置台及電漿處理裝置
本發明係關於載置台及電漿處理裝置。
作為電漿處理裝置,已知有於處理容器內具備載置被處理體(work-piece)(例如半導體晶圓、玻璃基板等)的載置台之裝置(例如參照專利文獻1)。專利文獻1記載的電漿處理裝置係具備載置晶圓的靜電吸盤。靜電吸盤係具有載置晶圓的中央部,以及以圍繞中央部的方式所形成的凸緣部。於凸緣部的上方配置有與凸緣部上面分離的環狀聚焦環。亦即,聚焦環的下面與靜電吸盤凸緣部的上面間形成有間隙。進一步地亦記載著於聚焦環內建加熱器的結構,以及於聚焦環的下面設有流入冷卻氣體的微小空間的結構。靜電吸盤係連接至RF電源。
【先前技術文獻】
【專利文獻】
【專利文獻1】美國專利第6795292號說明書
於用以使用電漿來製造半導體裝置或FPD(Flat Panel Display)之微細加工中,被處理體上的電漿密度分布之控制與被處理體的溫度乃至溫度分布之控制是非常重要的。在未適當地執行被處理體的溫度控制時,會不能確保從被處理體表面反應甚至製程特性的均勻性,而使得半導體裝置或顯示裝置之製造良品率會降低。
作為被處理體的溫度控制,常常會使用藉由組裝會通電發熱的發熱體至載置台來控制該發熱體發出的焦耳熱之加熱方式。又,常常會使用在載置台形成有冷媒流路來減熱被處理體之方式。進一步地如專利文獻1所記載,為了進行聚焦環的溫度控制,亦使用在聚焦環內建加熱器並在聚焦環 的下面流入用以減熱之冷媒的構造。必須使用該等溫度調整機構將被處理體的設定溫度及聚焦環的設定溫度因應於被處理體的製程條件而各維持在最適合的溫度帶域中。
聚焦環之溫度調整的重要性如以下所示。圖6係顯示為被處理體之晶圓的加工形狀之晶圓中心距離依存性的圖式。(a)係顯示洞深度之晶圓中心距離依存性的圖式。橫軸為晶圓中心起的距離,縱軸為洞深度。(b)係顯示洞形狀之晶圓中心距離依存性的圖式。橫軸為晶圓中心起的距離,縱軸為TopCD。(a)、(b)皆分別繪示聚焦環之溫度的圖式。如圖6所示,確認到聚焦環的溫度特別會對晶圓端部(例如145mm~147mm)之洞深度給予很大的影響。圖7係蝕刻率(E/R)之晶圓位置依存性的圖式。(a)係不控制聚焦環的溫度之情況下的圖式,(b)係低溫控制聚焦環的溫度之情況下的圖式。(a)、(b)兩者中,Xaxis與Yaxis係面內方向的正交軸,並繪示沿著該軸測定的結果。將(a)、(b)互相比較時,則確認到在低溫控制聚焦環溫度的情況下,晶圓端部(例如145mm~147mm)之蝕刻率會接近晶圓中心之蝕刻率,而提升蝕刻率之面內均勻性。
如此般,為了實現被處理體面內加工精度之均勻性,不僅有被處理體的溫度調整機構,還如專利文獻1所記載的電漿處理裝置,必須設置有聚焦環溫度調整機構。作為這樣的一般載置台,例如考量到如圖8所示載置台。如圖8所示,此載置台200係具備有具有高頻電極機能並形成有冷媒流路200e、200d的鋁基台30、以及具有配置於鋁基台30上的晶圓載置面60d及聚焦環載置面60e而由陶瓷等所構成的靜電吸盤60。靜電吸盤60係具有中央部60g以及以包圍中央部60g的方式所形成的凸緣部60h,中央部60g的上面會成為晶圓載置面60d,而凸緣部60h的上面會成為聚焦環載置面60e。加熱器60c、7c係構成為可組裝於晶圓載置面60d及聚焦環載置面60e的下方,例如靜電吸盤60的內部,並可分別地進行晶圓載置面60d與聚焦環載置面60e的溫度調整。晶圓與聚焦環之升溫控制係藉由各加熱器60c、7c分別將熱給予晶圓載置面60d及聚焦環載置面60e來實現。然後,晶圓與聚焦環之降溫控制係藉由將熱傳導至從晶圓載置面60d及聚焦環載置面60e至鋁基台30內部的冷媒流路200e、200d,亦即垂直方向而吸熱來實現。
上述構成之載置台之溫度控制相關的驗證結果係顯示於圖9。圖9係各別量測載置台中心起的距離(半徑)之溫度的圖式,橫軸為半徑,縱軸為溫度。圖式中較虛線所示之半徑要更內側的半徑係載置晶圓的晶圓區域,圖式中較虛線所示之半徑要更外側的半徑係載置聚焦環的FR區域。圖9中,係關閉晶圓區域之加熱器,而開啟FR區域之加熱器。亦即,係不控制晶圓溫度,而僅控制聚焦環溫度的情況下的測定結果。如圖9所示,確認到在以虛線表示的半徑附近,即在晶圓區域與FR區域間會產生熱干涉,使得晶圓端部的溫度會上升。亦即,確認到在靜電吸盤上形成有晶圓載置面與聚焦環載置面的情況下,會產生從聚焦環側至晶圓側的熱擴散。此結果應該係流入靜電吸盤內部的熱流不僅從靜電吸盤到鋁基台的冷卻水路為止而朝鉛直方向流動,還會在靜電吸盤內部與鋁基台內部之冷媒流路的上方朝水平方向(載置台的徑向)流動而產生。
因此,如專利文獻1所記載,即便在構成為聚焦環下面與靜電吸盤的凸緣部上面之間形成有間隙,而不將聚焦環與靜電吸盤直接連接,來防止從聚焦環側至靜電吸盤側之直接熱擴散之構成的情況,最終也會在支撐聚焦環與靜電吸盤之構件熱連接的情形中,若不在冷媒流路的高度位置下工夫,則仍會有間接熱干涉的情形。因此,最好有會正確地使被處理體的溫度控制與聚焦環的溫度控制加以獨立的機構。
進一步地,在獨立控制晶圓載置面及聚焦環載置面之溫度的情形中會有以下問題。近年來,比起被處理體的設定溫度,聚焦環的設定溫度最好是設定在更高溫度帶域中。例如,最好是設定100℃以上的溫度差。然而,已知的是將晶圓載置面正下的加熱器與聚焦環載置面正下的加熱器之溫度差控制為40度以上時,則由陶瓷所構成的靜電吸盤會因熱膨脹而有損壞之虞。圖10係驗證因溫度差而產生在靜電吸盤的最大應力之結果。橫軸為溫度差,縱軸為產生在測定處的最大應力。正四角形的範例(溫度差為40℃,最大應力為388MPa)係控制聚焦環的溫度較被處理體的溫度更低的情形,其他範例係控制聚焦環的溫度較被處理體的溫度更高的情形。造成損害的最大應力基準值係190MPa。如圖10所示,在溫度差為40℃以上的情況下,會變成有超過基準值190MPa的結果。此般的損壞較容易產生於靜電吸盤之 厚度有變化的位置。例如圖8所示,晶圓載置面60d與聚焦環載置面60e之邊界有段差處會較容易產生。進一步地,在靜電吸盤安裝於鋁支撐台時之螺絲固定的位置上,因為原本就不能配置加熱器,故更容易顯著地顯現上述般的溫度差,結果就變成會較容易發生損壞的位置。例如圖8所示,在鋁基台30與支撐台40藉由螺絲8e而連接的情形中,係將螺絲8e插入並鎖合在形成於靜電吸盤60的凸緣部60h的貫通孔60i、形成於鋁基台30的貫通孔30a(內面亦可被切削螺紋),以及形成於支撐台40而內面被切削螺紋的插通孔40a。在此情形下,因為於靜電吸盤60形成有貫通孔60i,故不能配置加熱器7c。因此,因為形成有貫通孔60i之處,溫度差會顯著地顯現,結果會變成容易發生損壞的位置。
另外,如專利文獻1所記載的載置台,藉由以不同構件來構成形成晶圓載置面的構件與形成聚焦環載置面的構件,可減少上述起因於熱膨脹差之熱應力形變的影響。例如,考量到分別準備載置晶圓的內側陶瓷板及環狀包圍內側陶瓷板的外側陶瓷板。然後,藉由內含在各陶瓷板的加熱器來進行加熱控制。又,於內側及外側陶瓷板的下層,係分別設置有在其內部具有冷卻水路的鋁板,以將熱流束的流動在鉛直方向上加以調整。
然而,在上述構成的情形中,必須分別施加RF至各別設置的各鋁板。或者,必須從一個RF電源透過匹配器來分叉電功率供給路徑而將電功率供給至各別的鋁板。藉由脈衝波形來同時施加RF等,會因為為了實現複雜的施加順序而使裝置構成變得複雜,故最好是藉由一個RF電源來供給電功率。又,因為晶圓載置構件與聚焦環載置構件之面積與厚度不同,故晶圓載置構件與聚焦環載置構件之導電率成分會大大地不同。例如,在晶圓載置構件與聚焦環載置構件含有陶瓷板的形況下,會因應該陶瓷板的面積與厚度而使導電率成分大大地不同。因此,將電功率供給路徑分叉成到晶圓的供給路徑與到聚焦環的供給路徑的情形中,並無法適當地分配RF,結果電漿界面上所製作的鞘層電場便會有在晶圓面上及聚焦環面上變得不均勻之虞。此情形下,會有不能製造所欲的半導體裝置之虞。
如此般,在本技術領域中便需求一種正確地獨立被處理體的溫度控制與聚焦環的溫度控制,並可加大因熱應力形變而受限制的被處理體與聚焦 環的可設定溫度差,且可以簡易構成在被處理體面上與聚焦環面上形成均勻的鞘層電場之載置台與電漿處理裝置。
本發明一面向相關的載置台係載置被處理體的載置台。該載置台係具備有基台、載置構件、聚焦環、第1電熱構件及第2電熱構件。基台係具有內面及對向於內面的表面,並於其內部形成有冷媒流路。內面係連接有可施加電壓的供電構件。表面從與表面正交的方向觀之係於基台的內部環狀地形成有具有底面的溝部。藉由溝部形成有該溝部內側的圓柱狀內側基台部與該溝部外側的環狀外側基台部。載置構件係被內側基台部支撐,並具有載置被處理體的載置面。環狀聚焦環係被外側基台部支撐,且從正交於載置面的方向觀之係以包圍載置面周圍的方式加以配置。聚焦環於其內側側面具有以從正交於載置面的方向觀之會包覆溝部的方式而朝徑向內側突出的凸部。第1電熱構件係介設於載置面與冷媒流路之間。第2電熱構件係介設於聚焦環與冷媒流路之間。
此載置台中,係藉由內側基台部支撐載置構件,並藉由外側基台部支撐聚焦環。因藉由分離內側基台部與外側基台部的溝部(空間)而熱分離載置構件與聚焦環,故會抑制水平方向(載置台的徑向)的熱移動。因此,可正確地獨立被處理體的溫度控制與聚焦環的溫度控制。進一步地,因為內側基台部與外側基台部會藉由溝部的空間分隔而分離,故即使在內側基台部與載置構件以及外側基台部與聚焦環之間的熱膨脹產生差異的情況下,也不會因為熱應力形變而損壞構成構件。因此,便可加大因熱應力形變而受限制的被處理體與聚焦環的可設定溫度差。進一步地,藉由基台的內面連接有供電構件,並且使基台的內部具有底面的溝部,則內側基台部與外側基台部會在溝部的下方相互連接。如此般,內側基台部與外側基台部便會作為RF電路而加以聯繫。因此,可以簡易構成而在被處理體面上與聚焦環面上形成均勻的鞘層電場。又,因為藉由在聚焦環的內側側面所形成的凸部來阻斷欲往溝部進入的電漿,故可防止溝部的劣化或在溝部中的異常放電等。
於一實施形態中,溝部的底面亦可被形成在與冷媒流路上端面中位於最上方位置的上端面之高度相同的位置上,或者,形成在較冷媒流路上端面中位於最上方位置的上端面之高度要下方處。於此情形,因為於冷媒流路上方之水平方向的熱流束會藉由溝部而阻斷,故可更加正確地獨立被處理體的溫度控制與聚焦環的溫度控制。
於一實施形態中,內側基台部的內部所形成的冷媒流路的上端面之高度亦可較外側基台部的內部所形成的冷媒流路的上端面之高度要高,溝部的底面亦可被形成在與內側基台部的上端面之高度相同的位置上,或者,形成在較內側基台部的上端面之高度要下方處。又,於一實施形態中,內側基台部的內部所形成的冷媒流路的上端面之高度亦可較外側基台部的內部所形成的冷媒流路的上端面之高度要低,溝部的底面亦可被形成在與外側基台部的上端面之高度相同的位置上,或者,形成在較外側基台部的上端面之高度要下方處。
於一實施形態中,載置構件亦可於其內部含有第1電熱構件。於此情況下,可效率良好地給予熱至被處理體。於一實施形態中,載置構件亦可於其內部在第1電熱構件的上方進一步地含有用以靜電吸附被處理體的電極。如此般,載置構件係可作為靜電吸盤的功能。
於一實施形態中,亦可更具備有介設於聚焦環與外側基台部之間的間隔構件。間隔構件亦可於其內部含有第2電熱構件。於此情況下,可效率良好地給予熱至聚焦環。
於一實施形態中,冷媒流路亦可被配置於載置構件及聚焦環的下方。於此情況下,可更加正確地獨立被處理體的溫度控制與聚焦環的溫度控制。
於一實施形態中,載置台亦可更具備有支撐基台的內面並形成有貫通孔的支撐台;以及可插入貫通於貫通孔的固定構件。然後,基台的內面亦可形成有可與固定構件連結的連結部,並藉由固定構件於插入貫通支撐台的貫通孔的情況下與連結部連結來固定支撐台與基台。於此情況下,因為於基台的表面側不形成有用以固定於支撐台的貫通孔或螺孔,可去除溫度差顯著地產生的部分。因而,可減少因熱應力形變而損壞構成構件的可能性,結果可加大因熱應力形變而受限制的被處理體與聚焦環的可設定溫度 差。
本發明之其他面向相關的電漿處理裝置係具備有處理容器、氣體供給部、第1電極及載置台。處理容器係區劃出產生有電漿之處理空間。氣體供給部係於處理空間內供給處理氣體。第1電極係設置於處理空間。載置台係收納於處理空間內並載置被處理體。該載置台係具備有基台、載置構件、聚焦環、第1電熱構件及第2電熱構件。基台係具有內面及對向於內面的表面,並於其內部形成有冷媒流路。於內面係連接有可施加電壓的供電構件。於表面從與表面正交的方向觀之係於基台的內部環狀地形成有具有底面的溝部,且藉由溝部形成有該溝部內側的圓柱狀內側基台部與該溝部外側的環狀外側基台部。載置構件係被內側基台部支撐,並具有載置被處理體的載置面。環狀聚焦環係被外側基台部支撐,且從正交於載置面的方向觀之係以包圍載置面周圍的方式加以配置。聚焦環係於其內側側面具有以從正交於載置面的方向觀之會包覆溝部的方式而朝徑向內側突出的凸部。第1電熱構件係介設於載置面與冷媒流路之間。第2電熱構件係介設於聚焦環與冷媒流路之間。
根據此電漿處理裝置,便會取得與上述載置台相同的效果。
根據本發明的各種面向及實施形態,便可提供一種正確地獨立被處理體的溫度控制與聚焦環的溫度控制,並可加大因熱應力形變而受限制的被處理體與聚焦環的可設定溫度差,且可以簡易構成而在被處理體面上與聚焦環面上形成均勻的鞘層電場之載置台與電漿處理裝置。
1‧‧‧處理容器
2‧‧‧載置台
3‧‧‧基台
4‧‧‧支撐台
5‧‧‧聚焦環
6‧‧‧靜電吸盤(載置構件)
6a‧‧‧電極
6c‧‧‧加熱器(第1電熱構件)
7‧‧‧間隔構件
7c‧‧‧加熱器(第2電熱構件)
13‧‧‧溝部
13a‧‧‧底面
圖1係顯示一實施形態相關的電漿處理裝置之構成的概略剖面圖。
圖2係顯示圖1的電漿處理裝置中之載置台的概略剖面圖。
圖3係說明圖1的電漿處理裝置中之載置台的作用效果的概要圖。
圖4係顯示一實施形態相關的電漿處理裝置之載置台的變形例。
圖5係顯示一實施形態相關的電漿處理裝置之載置台的變形例。
圖6係顯示為被處理體之晶圓的加工形狀之晶圓中心距離依存性的圖表。
圖7係蝕刻率(E/R)之晶圓位置依存性的圖表。
圖8係顯示一般載置台構成的概略剖面圖。
圖9係各別量測載置台中心起的距離(半徑)之溫度的圖表。
圖10係顯示產生於靜電吸盤之最大應力與溫度差之關聯的圖表。
以下,參照圖式就各種實施形態加以詳細說明。另外,各圖式中對於相同或類似的部分附加相同的符號。又,「上」、「下」之用語係基於圖示的狀態而簡便的用語。
圖1係顯示本實施形態相關的電漿處理裝置之構成的概略剖面圖。電漿處理裝置係氣密地加以構成,並具有為電接地電位的處理容器1。此處理容器1為圓筒狀,例如由鋁等所構成。處理容器1係區劃出產生有電漿之處理空間。於處理容器1內係收納有將為被處理體(work-piece)的半導體晶圓(以下,僅稱作「晶圓」)W水平地支撐的載置台2。載置台2係構成為包含有基台3與靜電吸盤6(載置構件)。基台3係由導電性的金屬,例如鋁等所構成,並具有作為下部電極的功能。靜電吸盤6係具有用以靜電吸附晶圓W的功能。基台3係支撐於絕緣體的支撐台4,支撐台4係設置於處理容器1的底部。又,於基台3上方的外周係透過間隔構件7而設置有例如由單晶矽所形成的聚焦環5。
基台3係連接有供電棒50(供電構件)。供電棒50係透過第1匹配器11a而連接有第1RF電源10a,又,透過第2匹配器11b而連接有第2RF電源10b。第1RF電源10a係電漿產生用,且以將來自此第1RF電源10a之既定頻率的高頻電功率供給至載置台2的基台3之方式加以構成。又,第2RF電源10b係離子引入用(偏壓用),且以將來自此第2RF電源10b並較第1RF電源10a要低的既定頻率的高頻電功率供給至載置台2的基台3之方式加以構成。如此般,載置台2係構成為可施加電壓。
靜電吸盤6係構成為使電極6a介設在該絕緣體6b之間,並於電極6a連接有直流電源12。然後,以藉由施加來自直流電源12的直流電壓至電極6a而利用庫倫力來吸附晶圓W的方式加以構成。
於靜電吸盤6設置有為加熱單元的加熱器6c(第1電熱構件)。該等加熱器6c係連接至加熱器電源14。加熱器6c係例如以包圍載置台2中心之方式而環狀地延伸。此加熱器6c亦可包含有例如加熱中心區域的加熱器,及以包圍中心區域外側之方式而環狀延伸的加熱器。於此情況下,可針對位於相對於該晶圓W的中心之放射方向上的複數個區域中的每個區域來控制晶圓W的溫度。又,載置有聚焦環5的間隔構件7為環狀構件,於其內部設置有為加熱單元的加熱器7c(第2電熱構件)。加熱器7c係連接至加熱器電源14。聚焦環5的溫度係藉由加熱器7c加以控制。如此般,晶圓W的溫度與聚焦環5的溫度係藉由不同的加熱器而獨立地加以控制。
基台3的內部係形成有冷媒流路2d,冷媒流路2d係連接有冷媒入口配管2b、冷媒出口配管2c。然後,可構成為藉由於冷媒流路2d中使適當的冷媒,例如冷卻水等循環來將載置台2控制在既定的溫度。另外,亦可以貫通載置台2等之方式,於晶圓W的內面設置有用以供給氦氣等冷熱傳遞用氣體(背側氣體)的氣體供給管(未圖示)。氣體供給管係連接至未圖示的氣體供給源。藉由該等構成來將載置台2的上面由靜電吸盤6所吸附保持的晶圓W控制在既定的溫度。
另一方面,於載置台2的上方,以平行地對向於載置台2之方式設置有具有為上部電極之功能的噴頭16,噴頭16與載置台2係為成對電極(上部電極與下部電極)之功能。
噴頭16係設置於處理容器1的頂壁部分。噴頭16係具備有本體部16a及成為電極板的上部頂板16b,並透過絕緣性構件95而支撐於處理容器1的上部。本體部16a係由導電性材料,例如表面經陽極氧化處理的鋁所構成,並以於其下部可支撐有裝卸自如的上部頂板16b之方式來加以構成。
本體部16a的內部係設置有氣體擴散室16c,並以位於此氣體擴散室16c的下部之方式,於本體部16a的底部形成有多數個氣體流通孔16d。又,於上部頂板16b以與上述氣體流通孔16d重合之方式設置有朝厚度方向貫通該上部頂板16b的氣體導入孔16e。藉由此般的構成,被供給於氣體擴散室16c的處理氣體係透過氣體流通孔16d與氣體導入孔16e而噴灑式地分散供給至處理容器1內。
本體部16a係形成有用以朝氣體擴散室16c導入處理氣體的氣體導入口16g。此氣體導入口16g係連接有氣體供給配管15a,並於此氣體供給配管15a的另一端連接有供給處理氣體的處理氣體供給源(氣體供給部)15。氣體供給配管15a係從上游側起依序設置有質流控制器(MFC)15b及開關閥V2。然後,來自處理氣體供給源15之電漿蝕刻用的處理氣體係透過氣體供給配管15a供給至擴散室16c,並從此擴散室16c透過氣體流通孔16d與氣體導入孔16e而噴灑式地分散供給至處理容器1內。
上述作為上部電極的噴頭16係透過低通濾波器(LPF)71而電性連接有可變直流電源72。此可變直流電源72係構成為可藉由ON/OFF開關73來將供電打開/關閉。可變直流電源72的電流/電壓和開關73的打開/關閉係藉由後述之控制部90加以控制。另外,如後述,來自第1RF電源10a、第2RF電源10b的高頻施加在載置台2而於處理空間中產生電漿時,會依據需要藉由控制部90來將ON/OFF開關73打開,並施加既定的直流電壓至作為上部電極的噴頭16。
以從處理容器1的側壁朝比噴頭16的高度位置更上方延伸之方式設置有圓筒狀的接地導體1a。此圓筒狀的接地導體1a係於其上部具有頂壁。
處理容器1的底部係形成有排氣口81,此排氣口81係透過排氣管82連接有第1排氣裝置83。第1排氣裝置83係構成為具有真空幫浦,並可藉由讓此真空幫浦作動來將處理容器1內減壓到既定的真空度。另一方面,處理容器1內的側壁係設置有晶圓W的搬出入口84,此搬出入口84係設置有開閉該搬出入口84的閘閥85。
處理容器1的側部內側係沿著內壁面而形成有沉積物屏蔽86。沉積物屏蔽86係防止蝕刻副產物(沉積物)附著於處理容器1。此沉積物屏蔽86與晶圓W大約相同高度的位置係設置有可控制對地電位而連接的導電性構件(GND塊體)89,並藉此來防止異常放電。又,沉積物屏蔽86的下端部係設置有沿著載置台2延伸的沉積物屏蔽87。沉積物屏蔽86、87係可自由裝卸。
上述構成之電漿處理裝置係藉由控制部90來統合地控制其動作。此控制部90係設置有具備CPU而控制電漿處理裝置各部的程序控制器91、使用者介面92及記憶部93。
使用者介面92係由工序管理者用以管理電漿處理裝置而進行指令之輸入操作的鍵盤,或將電漿處理裝置之運作狀況視覺化而顯示的顯示器等所加以構成。
記憶部93係儲存有記憶了用以利用程序控制器91之控制而實現電漿處理裝置上所執行的各種處理之控制程式(軟體)或處理條件資料等的配方。然後,因應需要,藉由根據來自使用者介面92的指示等將任意的配方從記憶部93呼叫出來而在程序控制器91執行,在程序控制器91的控制下於電漿處理裝置進行所欲的處理。又,控制程式或處理條件資料等配方亦可利用儲存於電腦可讀取的電腦記憶媒體(例如硬碟、CD、軟碟、半導體記憶體等)等之狀態者,或是從其他裝置,例如透過專用線路來隨時傳送而在線上使用。
接下來,參照圖2,就載置台2的要件構成加以說明。圖2係顯示圖1的電漿處理裝置中之載置台2的概略剖面圖。
基台3係例如呈略圓柱狀,並具有內面3c及對向於內面3c的表面(頂面3d、頂面3e)。基台3的內面3c係沿著基台3的軸線Z而連接有供電棒50。又,基台3的內面3c係形成有與支撐台4組裝時所利用的貫通孔3f~3i。基台3與支撐台4之組裝容後描述。另外,在圖式中顯示4個貫通孔,而貫通孔係以包圍基台3的軸線Z之方式而等間隔地形成於環狀且圓周方向。然後,在圖式中貫通孔3f、3i係沿著內面3c的外緣而環狀地排列,並於該等內側環狀地排列有貫通孔3g、3h。於此,雖然說明了雙層而環狀地排列的貫通孔,然貫通孔的形成位置亦可適當地設定。例如,亦可以僅在基台3的外緣排列的方式來形成貫通孔。
基台3的表面係以環狀地包圍基台3的軸線Z之方式形成有溝部13。亦即,溝部13從正交於基台3的表面之方向觀之係形成為環狀。另外,溝部13可連續地形成為環狀,亦可間斷地形成為環狀。藉由溝部13,基台3的上部便分成從正交於基台3的表面之方向觀之為圓形的內側基台部3a,以及從正交於基台3的表面之方向觀之為環狀的外側基台部3b。圓柱狀的內側基台部3a的軸線係與基台3的軸線Z一致。又,外側基台部3b係以包圍基台3的軸線Z,亦即內側基台部3a的軸線之方式加以形成。內側基台 部3a係具有支撐靜電吸盤6之圓形頂面3d。外側基台部3b係具有支撐聚焦環5之環狀頂面3e。如此般,基台3的表面便藉由溝部13而分成圓形的頂面3d與環狀的頂面3e。
頂面3d與頂面3e的高度係因應晶圓W的厚度、聚焦環5的厚度、或介設於晶圓W與內側基台部3a之間的材料的厚度或物性、介設於聚焦環5與外側基台部3b之間的材料的厚度或物性而以讓至晶圓W的熱傳遞或RF電功率與至聚焦環5的熱傳遞或RF電功率一致之方式來加以適當地調整。亦即,於圖式中雖然例示頂面3d與頂面3e之高度為不一致的情形,但是兩者亦可一致。
形成於基台3的內部的冷媒流路2d(參照圖2)係包含位於比溝部13更靠近基台3之內側的內側冷媒流路2e以及比溝部13更靠近基台3之外緣的外側冷媒流路2f。內側冷媒流路2e係形成在內側基台部3a之頂面3d下方。外側冷媒流路2f係形成在外側基台部3b之頂面3e下方。亦即,內側冷媒流路2e係位於晶圓W的下方而具有吸收晶圓W熱之功能,外側冷媒流路2f係位於聚焦環5的下方而具有吸收聚焦環5熱之功能。另外,內側冷媒流路2e與外側冷媒流路2f亦可連接至不同的冷卻機構,並流通不同溫度的冷媒。
溝部13係於基台3的內部具有底面13a。亦即,內側基台部3a於外側基台部3b係於溝部13的下方相互連接。以基台3之內面3c的高度位置P為基準時,底面13a的高度位置B係冷媒流路2e、2f的上端面中與位於最上方位置的上端面之高度相同的位置上,或者冷媒流路2e、2f的上端面中較位於最上方位置的上端面之高度要下方處。圖2中係繪示冷媒流路2e、2f的上端面之高度為相同高度H1之情況。因此,溝部13之底面13a的高度位置B可與高度H1相同,或亦可較高度H1要下方。如此般,可藉由形成溝部13至少到冷媒流路2e、2f的上端面,而於冷媒流路2e、2f的上方設置有空間,並利用物理性且連續性的阻隔來阻斷基台3內部之水平方向的熱流束。該空間係因為於電漿處理裝置中會成為真空空間,故可真空隔熱。
靜電吸盤6係透過接著件9b而設置在內側基台部3a之頂面3d上。靜電吸盤6係呈圓板狀,並以成為與基台3的軸線Z同軸之方式加以設置。 靜電吸盤6的上端係形成有用以載置晶圓W的載置面6d。載置面6d係呈圓形,並與晶圓W內面接觸來支撐圓板狀晶圓W。進一步地,靜電吸盤6的下端係形成有朝靜電吸盤6的徑向外側突出的凸緣部6e。亦即,靜電吸盤6係因應側面的位置而有不同的外徑。又,靜電吸盤6係透過於絕緣體6b之間介設電極6a與加熱器6c而加以構成。於圖式中,加熱器6c係介設在電極6a的下方。藉由加熱器6c來對載置面6d進行加熱控制。另外,加熱器6c亦可不存在於靜電吸盤6內部。例如,亦可藉由接著件9b貼合至靜電吸盤6的內面,只要是介設於載置面6d與冷媒流路2e之間即可。
聚焦環5係例如透過間隔構件7而支撐於外側基台部3b。聚焦環5為圓環狀的構件,並以成為與基台3的軸線Z同軸之方式加以設置。聚焦環5的內側側面係形成有朝徑向內側突出的凸部5a。亦即,聚焦環5係因應內側側面的位置而有不同的內徑。例如,未形成凸部5a處的內徑係較晶圓W的外徑及靜電吸盤6之凸緣部6e的外徑要大。另一方面,形成有凸部5a處的內徑係較靜電吸盤6之凸緣部6e的外徑要小,且較未形成靜電吸盤6之凸緣部6e處的外徑要大。
聚焦環5係使凸部5a與靜電吸盤6之凸緣部6e的上面分離,並且以成為亦從靜電吸盤6側面呈分離狀態之方式配置於間隔構件7上面。亦即,於聚焦環5之凸部5a下面與靜電吸盤6之凸緣部6e上面之間、聚焦環5之凸部5a側面與未形成靜電吸盤6之凸緣部6e側面之間會形成有間隙。然後,聚焦環之凸部5a係位於溝部13b的上方。亦即,從與載置面6d正交的方向觀之,凸部5a係存在於與溝部13b重合的位置上,並包覆該溝部13b。藉此,可防止電漿朝溝部13b進入。
間隔構件7為環狀的構件,並以成為與基台3的軸線Z同軸之方式加以設置。間隔構件7係透過接著件9a而設置在外側基台部3b之頂面3e上。間隔構件7係例如由陶瓷等絕緣體所形成。間隔構件7係以頂面7d與聚焦環5接觸而支撐聚焦環5。聚焦環5係藉由間隔構件7加以支撐,並以包圍晶圓W(靜電吸盤6之載置面6d)之周圍的方式加以設置。間隔構件7係構成為於其內部介設有加熱器7c。藉由加熱器7c來對間隔構件7之頂面7d(聚焦環5之載置面)進行加熱控制。另外,加熱器7c亦可不存在於間隔構件7 內部。例如,亦可藉由接著件9a貼合至間隔構件7的內面,只要是介設於聚焦環5與冷媒流路2f之間即可。
基台3係藉由例如由陶瓷等絕緣體所構成之圓柱狀支撐台4來加以支撐。支撐台4係具有可從支撐台4的內面側插入貫通螺絲的貫通孔4a~4d。此貫通孔4a~4d係形成在對應基台3之貫通孔3f~3i的位置。基台3之貫通孔3f~3i的內側係形成有可與螺絲8a~8d(固定構件)連結的螺紋部(連結部)。另外,貫通孔4a~4d亦可形成與螺絲8a~8d連結的螺紋部(連結部)。以支撐台4之貫通孔4a~4d與基台3之貫通孔3f~3i重合的方式來定位基台3與支撐台4之位置,並藉由將螺絲8a~8d從支撐台4的內面側插入貫通而鎖固,來連結並固定基台3與支撐台4。
接下來,使用圖3來說明載置台2之作用效果。圖3係說明載置台2之作用效果的概要圖。如圖3所示,於載置台2中靜電吸盤6係藉由內側基台部3a加以支撐,聚焦環5係藉由外側基台部3b加以支撐。藉由分離內側基台部3a與外側基台部3b之溝部13(空間)來熱分離靜電吸盤6與聚焦環5。因此,會抑制以箭頭D表示的水平方向(載置台2的徑向)之熱移動,而晶圓W的熱係如箭頭E所示從靜電吸盤6往內側冷媒流路2e,亦即朝垂直方向移動。同樣地,聚焦環5的熱會如箭頭A所示往外側的冷媒流路2f,亦即朝垂直方向移動。如此般,會抑制存在於比溝部13的底面13a更上方處之構件間熱的移動。例如,晶圓W、靜電吸盤6、接著件9b以及從內側基台部3a之頂面3d而介設於內側冷媒流路2e的上端面的基台部分,與聚焦環5、間隔構件7、接著件9a以及從外側基台部3b之頂面3e而介設於外側冷媒流路2f的上端面的基台部分係隔著空間而分離,故會抑制熱移動。因此,可正確地獨立晶圓W的溫度控制與聚焦環5的溫度控制。
又,因為內側基台部3a與外側基台部3b會藉由溝部13隔著空間而分離,故即使內側基台部3a及靜電吸盤6,與外側基台部3b及聚焦環5之熱膨脹產生差異的情況下,也不會因為熱應力形變而破壞構成構件。進一步地,螺絲8c、8d係安裝於基台3的內面3c側。藉此,基台3的表面側會因為未形成有用以固定於支撐台4的貫通孔或螺孔,而可均勻地配置加熱器6c與7c,故可去除溫度差顯著地產生的部分。因此,會減低因熱應力形變 而破壞構成構件的可能性,結果便可加大因熱應力形變而受限制的晶圓W與聚焦環5的可設定溫度差。如此般,溝部13不僅具有隔熱功能,亦具有吸收應力形變的功能。
又,藉由於基台3的內面3c連接有供電棒50,且溝部13於基台3的內部具有底面13a,內側基台部3a與外側基台部3b會如箭頭C所示而在溝部13的下方相互連接。如此般,內側基台部3a與外側基台部3b便會作為RF電路而加以聯繫。因此,可以簡易構成而在被晶圓W面上與聚焦環5面上形成均勻的鞘層電場。
進一步地,因為藉由在聚焦環5的內側側面所形成的凸部5a來阻斷往溝部13進入的電漿,故可防止溝部13的劣化或在溝部13中的異常放電等。
以上,雖然就一實施形態加以描述,但是本發明不限於相關的特定實施形態者,在專利申請範圍內所記載之本發明要旨的範圍內,可進行各種變形或改變。
例如,如圖4所示,亦可有基台3內部之內側冷媒流路2e的高度H2會較外側冷媒流路2f的高度H3要高的情形。此情形中,溝部13之底面13a的高度B係與冷媒流路2e、2f中位於最上方位置之冷媒流路2e的上端面的高度H2相同,或者較高度H2要下方處加以形成。又,如圖5所示,亦可有基台3內部之內側冷媒流路2e的高度H2會較外側冷媒流路2f的高度H3要低的情形。此情形中,溝部13之底面13a的高度B係與冷媒流路2e、2f中位於最上方位置之冷媒流路2f的上端面的高度H3相同,或者較高度H3要下方處加以形成。如此般,溝部13的底面係形成於會阻隔存在於外側冷媒流路2f與內側冷媒流路2e的上方之鋁等材料部分所構成之水平方向熱流路的高度。
又,上述實施形態中,雖然基台3以一體成形的範例加以說明,但是亦可為將各別個體所成形者加以組合的基台3。例如,外側基台部3b亦可為各別個體。在外側基台部3b為各別個體的情形中,可輕易地於溝部13的內部進行噴塗等表面處理。
又,電漿處理裝置亦可使用藉由微波所產生的電漿。
2‧‧‧載置台
2d、2e、2f‧‧‧冷媒流路
3‧‧‧基台
3a‧‧‧內側基台部
3b‧‧‧外側基台部
3c‧‧‧內面
3d‧‧‧頂面
3h、3i‧‧‧貫通孔
4c、4d‧‧‧貫通孔
5‧‧‧聚焦環
5a‧‧‧凸部
6‧‧‧靜電吸盤
6a‧‧‧電極
6b‧‧‧絕緣體
6c‧‧‧加熱器
6d‧‧‧載置面
7‧‧‧間隔構件
7c‧‧‧加熱器
7d‧‧‧頂面
8c、8d‧‧‧螺絲
9a‧‧‧接著件
13‧‧‧溝部
13a‧‧‧底面
50‧‧‧供電棒
B、H2、H3、P‧‧‧高度
W‧‧‧晶圓

Claims (10)

  1. 一種載置台,係載置被處理體的載置台,具備有:基台,係具有內面及對向於該內面的表面,並於其內部形成有冷媒流路的基台,於該內面係連接有可施加電壓的供電構件,於該表面從與該表面正交的方向觀之係於該基台的內部環狀地形成有具有底面的溝部,且藉由該溝部形成有該溝部內側的圓柱狀內側基台部與該溝部外側的環狀外側基台部;載置構件,係被該內側基台部支撐,並具有載置該被處理體的載置面;環狀聚焦環,係被該外側基台部支撐,且從正交於該載置面的方向觀之係以包圍該載置面周圍的方式加以配置,於其內側側面具有以從正交於該載置面的方向觀之會包覆該溝部的方式而朝徑向內側突出的凸部;第1電熱構件,係介設於該載置面與該冷媒流路之間;以及第2電熱構件,係介設於該聚焦環與該冷媒流路之間。
  2. 如申請專利範圍第1項之載置台,其中該溝部的底面係被形成在與該冷媒流路的上端面中位於最上方位置的上端面之高度相同的位置上,或者,形成在較該冷媒流路的上端面中位於最上方位置的上端面之高度要下方處。
  3. 如申請專利範圍第1或2項之載置台,其中該內側基台部的內部所形成的冷媒流路的上端面之高度係較該外側基台部的內部所形成的冷媒流路的上端面之高度要高;該溝部的底面係被形成在與該內側基台部的上端面之高度相同的位置上,或者,形成在較該內側基台部的上端面之高度要下方處。
  4. 如申請專利範圍第1或2項之載置台,其中該內側基台部的內部所形成的冷媒流路的上端面之高度係較該外側基台部的內部所形成的冷媒流路的上端面之高度要低; 該溝部的底面係被形成在與該外側基台部的上端面之高度相同的位置上,或者,形成在較該外側基台部的上端面之高度要下方處。
  5. 如申請專利範圍第1或2項之載置台,其中該載置構件係於其內部含有該第1電熱構件。
  6. 如申請專利範圍第5項之載置台,其中該載置構件係於其內部在該第1電熱構件的上方進一步地含有用以靜電吸附該被處理體的電極。
  7. 如申請專利範圍第1或2項之載置台,其更具備有介設於該聚焦環與該外側基台部之間的間隔構件;該間隔構件係於其內部含有該第2電熱構件。
  8. 如申請專利範圍第1或2項之載置台,其中該冷媒流路係被配置於該載置構件及該聚焦環的下方。
  9. 如申請專利範圍第1或2項之載置台,其更具備有:支撐台,係支撐該基台的該內面並形成有貫通孔;以及固定構件,係可插入貫通於該貫通孔;其中該基台的該內面係形成有可與該固定構件連結的連結部;藉由該固定構件於插入貫通該支撐台的該貫通孔的情況下與該連結部連結來固定該支撐台與該基台。
  10. 一種電漿處理裝置,係具備有:處理容器,係區劃出產生有電漿之處理空間;氣體供給部,係於該處理空間內供給處理氣體;第1電極,係設置於該處理空間;以及載置台,係收納於該處理空間內並載置被處理體;該載置台係具備有:基台,係具有內面及對向於該內面的表面,並於其內部形成有冷媒 流路的基台,於該內面係連接有可施加電壓的供電構件,於該表面從與該表面正交的方向觀之係於該基台的內部環狀地形成有具有底面的溝部,且藉由該溝部形成有該溝部內側的圓柱狀內側基台部與該溝部外側的環狀外側基台部;載置構件,係被該內側基台部支撐,並具有載置該被處理體的載置面;環狀聚焦環,係被該外側基台部支撐,且從正交於該載置面的方向觀之係以包圍該載置面周圍的方式加以配置,於其內側側面具有以從正交於該載置面的方向觀之會包覆該溝部的方式而朝徑向內側突出的凸部;第1電熱構件,係介設於該載置面與該冷媒流路之間;以及第2電熱構件,係介設於該聚焦環與該冷媒流路之間。
TW103103056A 2013-01-31 2014-01-28 載置台及電漿處理裝置 TWI651798B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013-016703 2013-01-31
JP2013016703A JP6080571B2 (ja) 2013-01-31 2013-01-31 載置台及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW201438139A true TW201438139A (zh) 2014-10-01
TWI651798B TWI651798B (zh) 2019-02-21

Family

ID=51221643

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103103056A TWI651798B (zh) 2013-01-31 2014-01-28 載置台及電漿處理裝置

Country Status (4)

Country Link
US (2) US10727101B2 (zh)
JP (1) JP6080571B2 (zh)
KR (3) KR102428060B1 (zh)
TW (1) TWI651798B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI560792B (zh) * 2014-07-04 2016-12-01 Hitachi Int Electric Inc
CN107484433A (zh) * 2015-08-06 2017-12-15 应用材料公司 螺接式晶片夹具热管理系统及用于晶片处理系统的方法
CN108807123A (zh) * 2017-04-26 2018-11-13 东京毅力科创株式会社 等离子处理装置
CN108878247A (zh) * 2017-05-12 2018-11-23 细美事有限公司 支撑单元和包括该支撑单元的基板处理设备
TWI653706B (zh) 2015-09-25 2019-03-11 日商住友大阪水泥股份有限公司 靜電卡盤裝置
TWI684238B (zh) * 2015-01-06 2020-02-01 日商東京威力科創股份有限公司 載置台及基板處理裝置
CN111095476A (zh) * 2017-09-18 2020-05-01 马特森技术有限公司 用于等离子体处理设备的冷却聚焦环
CN111524850A (zh) * 2019-02-01 2020-08-11 东京毅力科创株式会社 载置台和基片处理装置
CN111801787A (zh) * 2018-09-13 2020-10-20 日本碍子株式会社 晶圆载置装置
US11217462B2 (en) 2015-08-06 2022-01-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
TWI833589B (zh) * 2022-04-26 2024-02-21 日商日本碍子股份有限公司 晶圓載置台

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9415519B2 (en) * 2014-07-01 2016-08-16 Varian Semiconductor Equipment Associates, Inc. Composite end effector and method of making a composite end effector
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
WO2016052291A1 (ja) * 2014-09-30 2016-04-07 住友大阪セメント株式会社 静電チャック装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
JP6349228B2 (ja) * 2014-10-22 2018-06-27 新光電気工業株式会社 静電チャック及びその静電チャックに使用されるベース部材
KR102019573B1 (ko) * 2014-10-30 2019-09-06 도쿄엘렉트론가부시키가이샤 기판 적재대
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
JP6530228B2 (ja) * 2015-04-28 2019-06-12 日本特殊陶業株式会社 静電チャック
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10515786B2 (en) * 2015-09-25 2019-12-24 Tokyo Electron Limited Mounting table and plasma processing apparatus
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
JP6530701B2 (ja) * 2015-12-01 2019-06-12 日本特殊陶業株式会社 静電チャック
CN106898574A (zh) * 2015-12-17 2017-06-27 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘机构以及半导体加工设备
US10249526B2 (en) * 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
JP6226092B2 (ja) * 2016-03-14 2017-11-08 Toto株式会社 静電チャック
JP6741461B2 (ja) * 2016-04-19 2020-08-19 日本特殊陶業株式会社 加熱部材及び複合加熱部材
JP2017199851A (ja) * 2016-04-28 2017-11-02 株式会社ディスコ 減圧処理装置
JP6183567B1 (ja) * 2016-05-13 2017-08-23 Toto株式会社 静電チャック
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6688167B2 (ja) * 2016-06-15 2020-04-28 日本特殊陶業株式会社 保持装置の製造方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
CN109643685B (zh) * 2016-08-26 2023-04-07 日本碍子株式会社 晶片载置台
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102581226B1 (ko) * 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180080520A (ko) 2017-01-04 2018-07-12 삼성전자주식회사 포커스 링 및 이를 포함하는 플라즈마 처리 장치
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP7033926B2 (ja) * 2017-04-26 2022-03-11 東京エレクトロン株式会社 プラズマ処理装置
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR101927937B1 (ko) * 2017-07-18 2018-12-11 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6966286B2 (ja) * 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN110770891B (zh) * 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP6522180B1 (ja) 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
JP7090465B2 (ja) * 2018-05-10 2022-06-24 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7105666B2 (ja) * 2018-09-26 2022-07-25 東京エレクトロン株式会社 プラズマ処理装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11967517B2 (en) 2019-02-12 2024-04-23 Lam Research Corporation Electrostatic chuck with ceramic monolithic body
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
JP7394556B2 (ja) * 2019-08-09 2023-12-08 東京エレクトロン株式会社 載置台及び基板処理装置
CN112466735A (zh) * 2019-09-09 2021-03-09 东京毅力科创株式会社 基片支承器和等离子体处理装置
JP7373963B2 (ja) * 2019-10-01 2023-11-06 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
JP2021077752A (ja) * 2019-11-07 2021-05-20 東京エレクトロン株式会社 プラズマ処理装置
US11551916B2 (en) * 2020-03-20 2023-01-10 Applied Materials, Inc. Sheath and temperature control of a process kit in a substrate processing chamber
JP2021190601A (ja) * 2020-06-02 2021-12-13 日本特殊陶業株式会社 保持装置
JP7515310B2 (ja) * 2020-06-10 2024-07-12 東京エレクトロン株式会社 載置台、基板処理装置及び基板処理方法
KR102495233B1 (ko) * 2020-07-03 2023-02-06 주식회사 동원파츠 정전척
JP7499651B2 (ja) * 2020-09-02 2024-06-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP7507662B2 (ja) 2020-11-13 2024-06-28 東京エレクトロン株式会社 温度調整装置及び基板処理装置
CN114843165A (zh) * 2021-02-01 2022-08-02 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置
JP7414751B2 (ja) * 2021-02-04 2024-01-16 日本碍子株式会社 半導体製造装置用部材及びその製法
US11881423B2 (en) * 2021-02-09 2024-01-23 Applied Materials, Inc. Electrostatic chuck with metal bond
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
JP7488796B2 (ja) 2021-06-10 2024-05-22 日本碍子株式会社 フォーカスリング載置台
JP7496343B2 (ja) 2021-11-08 2024-06-06 日本碍子株式会社 ウエハ載置台
US20230154781A1 (en) 2021-11-15 2023-05-18 Ngk Insulators, Ltd. Wafer placement table
JP7554220B2 (ja) 2022-03-08 2024-09-19 日本碍子株式会社 半導体製造装置用部材
JP7479565B1 (ja) 2022-09-28 2024-05-08 日本碍子株式会社 静電チャックアセンブリ
JP2024051933A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ
JP2024051768A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
JP3155802B2 (ja) * 1992-02-05 2001-04-16 株式会社東芝 免疫測定装置
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
JP2003179129A (ja) * 2001-12-11 2003-06-27 Ngk Spark Plug Co Ltd 静電チャック装置
JP4033730B2 (ja) * 2002-07-10 2008-01-16 東京エレクトロン株式会社 プラズマ処理装置用基板載置台及びプラズマ処理装置及びプラズマ処理装置用の基台部
JP4451098B2 (ja) * 2002-08-22 2010-04-14 住友大阪セメント株式会社 サセプタ装置
JP2003243492A (ja) * 2003-02-19 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4397271B2 (ja) * 2003-05-12 2010-01-13 東京エレクトロン株式会社 処理装置
JP2005260011A (ja) * 2004-03-12 2005-09-22 Hitachi High-Technologies Corp ウエハ処理装置およびウエハ処理方法
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP3155802U (ja) * 2009-09-17 2009-12-03 日本碍子株式会社 ウエハー載置装置
JP5395633B2 (ja) * 2009-11-17 2014-01-22 東京エレクトロン株式会社 基板処理装置の基板載置台
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
JP2012049166A (ja) * 2010-08-24 2012-03-08 Hitachi High-Technologies Corp 真空処理装置
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6452449B2 (ja) * 2015-01-06 2019-01-16 東京エレクトロン株式会社 載置台及び基板処理装置

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI560792B (zh) * 2014-07-04 2016-12-01 Hitachi Int Electric Inc
TWI684238B (zh) * 2015-01-06 2020-02-01 日商東京威力科創股份有限公司 載置台及基板處理裝置
CN107484433A (zh) * 2015-08-06 2017-12-15 应用材料公司 螺接式晶片夹具热管理系统及用于晶片处理系统的方法
US11217462B2 (en) 2015-08-06 2022-01-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
CN107484433B (zh) * 2015-08-06 2021-10-08 应用材料公司 螺接式晶片夹具热管理系统及用于晶片处理系统的方法
TWI653706B (zh) 2015-09-25 2019-03-11 日商住友大阪水泥股份有限公司 靜電卡盤裝置
CN108807123B (zh) * 2017-04-26 2020-06-12 东京毅力科创株式会社 等离子处理装置
CN108807123A (zh) * 2017-04-26 2018-11-13 东京毅力科创株式会社 等离子处理装置
US11062885B2 (en) 2017-05-12 2021-07-13 Semes Co., Ltd. Supporting unit and substrate treating apparatus including the same
CN108878247A (zh) * 2017-05-12 2018-11-23 细美事有限公司 支撑单元和包括该支撑单元的基板处理设备
CN108878247B (zh) * 2017-05-12 2021-03-23 细美事有限公司 支撑单元和包括该支撑单元的基板处理设备
CN111095476B (zh) * 2017-09-18 2022-08-12 玛特森技术公司 用于等离子体处理设备的冷却聚焦环
CN111095476A (zh) * 2017-09-18 2020-05-01 马特森技术有限公司 用于等离子体处理设备的冷却聚焦环
CN111801787A (zh) * 2018-09-13 2020-10-20 日本碍子株式会社 晶圆载置装置
TWI761703B (zh) * 2018-09-13 2022-04-21 日商日本碍子股份有限公司 晶圓載置裝置
CN111801787B (zh) * 2018-09-13 2023-10-03 日本碍子株式会社 晶圆载置装置
CN111524850A (zh) * 2019-02-01 2020-08-11 东京毅力科创株式会社 载置台和基片处理装置
CN111524850B (zh) * 2019-02-01 2024-03-08 东京毅力科创株式会社 载置台和基片处理装置
TWI833589B (zh) * 2022-04-26 2024-02-21 日商日本碍子股份有限公司 晶圓載置台

Also Published As

Publication number Publication date
JP6080571B2 (ja) 2017-02-15
KR20140098707A (ko) 2014-08-08
US10727101B2 (en) 2020-07-28
KR102428060B1 (ko) 2022-08-03
KR20220111231A (ko) 2022-08-09
KR102553457B1 (ko) 2023-07-10
US20140209245A1 (en) 2014-07-31
US20200343122A1 (en) 2020-10-29
KR20210045376A (ko) 2021-04-26
US11705356B2 (en) 2023-07-18
JP2014150104A (ja) 2014-08-21
TWI651798B (zh) 2019-02-21

Similar Documents

Publication Publication Date Title
TWI651798B (zh) 載置台及電漿處理裝置
CN108281342B (zh) 等离子体处理装置
KR102383357B1 (ko) 배치대 및 기판 처리 장치
TWI659447B (zh) 載置台及電漿處理裝置
JP6986937B2 (ja) プラズマ処理装置
KR102434559B1 (ko) 탑재대 및 플라즈마 처리 장치
CN110473759B (zh) 载置台和等离子体处理装置
US11967511B2 (en) Plasma processing apparatus
TWI721062B (zh) 電漿處理方法及電漿處理裝置
JP6994981B2 (ja) プラズマ処理装置及び載置台の製造方法
JP7531641B2 (ja) 載置台及び基板処理装置
CN109390200B (zh) 等离子体处理装置
JP7066479B2 (ja) プラズマ処理装置
JP6932070B2 (ja) フォーカスリング及び半導体製造装置
US10923333B2 (en) Substrate processing apparatus and substrate processing control method
TW202114024A (zh) 載置台及基板處理裝置
TW201606922A (zh) 靜電夾具、載置台、電漿處理裝置