KR101642331B1 - 증착 반응기를 위한 방법 및 장치 - Google Patents

증착 반응기를 위한 방법 및 장치 Download PDF

Info

Publication number
KR101642331B1
KR101642331B1 KR1020107029306A KR20107029306A KR101642331B1 KR 101642331 B1 KR101642331 B1 KR 101642331B1 KR 1020107029306 A KR1020107029306 A KR 1020107029306A KR 20107029306 A KR20107029306 A KR 20107029306A KR 101642331 B1 KR101642331 B1 KR 101642331B1
Authority
KR
South Korea
Prior art keywords
reaction chamber
substrate
reaction
lid
precursor vapor
Prior art date
Application number
KR1020107029306A
Other languages
English (en)
Other versions
KR20110031431A (ko
Inventor
스벤 린드포르스
Original Assignee
피코순 오와이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피코순 오와이 filed Critical 피코순 오와이
Publication of KR20110031431A publication Critical patent/KR20110031431A/ko
Application granted granted Critical
Publication of KR101642331B1 publication Critical patent/KR101642331B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/20Aluminium oxides

Abstract

본 발명은 전구체 증기(101)가 증착 반응기의 반응 챔버(110)로 적어도 하나의 인피드 라인(141, 142)을 따라 가이드되고, 반응 챔버 내에서 전구체 증기의 수직 유동을 일으키고 수직하게 놓인 기판(170)들 사이에서 수직하게 전구체 증기가 유입되게 하여 수직하게 놓인 기판(170)들의 배치의 표면상에 물질이 증착되는 방법 및 장치에 대한 것이다.

Description

증착 반응기를 위한 방법 및 장치 {Methods and apparatus for deposition reactors}
본 발명은 증착 반응기를 위한 장치 및 방법에 대한 것이다. 보다 자세하게는, 절대적이지는 않지만, 본 발명은 순차적인 자기 포화 표면 반응에 의해 물질이 표면에 정착되는 이러한 증착 반등세를 위한 장치 및 방법에 대한 것이다.
원자 레이어 에피택시(Atomic Layer Epitaxy: ALE) 방법은 1970년대 초반에 투오모 순톨라 박사에 의해 발명되었다. 이 방법에 대한 다른 일반적인 명칭은 원자 레이어 증착(Atomic Layer Deposition: ALD)이며, 최근에는 ALE 대신에 사용되고 있다. ALD는 가열된 반응 공간 내에 놓여지는 기판으로 적어도 2개의 반응성 전구체 종(species)을 순차적으로 도입하는 곳에 기초한 특수한 화학적인 증착 방법이다. ALD의 성장 메커니즘은 화학적인 흡착(화학흡착) 및 물리적인 흡착(물리흡착) 간의 결합강도 차이에 의존한다. ALD는 증착 과정 동안에 화학 흡착을 이용하며 물리흡착을 제거한다. 화학흡착 동안에 강한 화학결합이 기체상태로부터 도달하는 분자와 고체 상태 표면의 원자 사이에 형성된다. 물리흡착에 의한 결합은 훨씬 약한데 그 이유는 반데르발스 힘만이 개입되기 때문이다. 물리흡착 결합은 국부적 온도가 분자의 응축 온도보다 높은 경우에 열에너지에 의해 쉽게 부서진다.
정의에 의하면, ALD 반응기의 반응 공간은 박막의 증착을 위하여 사용되는 각각의 ALD 전구체에 교번하여 그리고 순차적으로 노출될 있는 모든 가열된 표면을 포함한다. 기본적인 ALD 증착 싸이클은 펄스 A, 퍼지 A, 펄스 B 및 퍼지 B인 순차적인 4단계로 구성된다. 펄스 A 는 금속 전구체 증기 및 비금속 전구체 증기, 특히 질소 또는 산소 전구체 증기의 펄스 B로 구성된다. 질소 또는 아르곤와 같은 불활성 가스 및 진공 펌프는 퍼지 A 및 퍼지 B 동안에 반응 공간으로부터 잔류 반응 분자 및 기체 반응 부산물을 위하여 사용된다. 증착 순서는 적어도 하나의 증착 싸이클을 포함한다. 증착 싸이클은 증착 순서가 원하는 두께의 박막을 제조할 때까지 반복된다.
전구체 종은 가열된 표면의 반응성 지점에 화학 결합을 화학흡착을 통하여 형성한다. 조건들은 고체 물질의 분자 모노레이어만이 하나의 전구체 펄스 동안에 표면상에 형성되는 방식으로 배열된다. 성장 과정은 자체 종료되거나 포화된다. 예를 들어, 제1 전구체는 흡수된 종에 부착된 상태로 남아 있고 표면을 포화시키는리간드를 포함하여 추가적인 화학흡착을 방지한다. 반응 공간 온도는 응착 온도를 초과하여 유지되고 사용된 전구체의 열적 분해 온도 아래의 온도에서 유지되어 전구체 분자 종은 기판을 기본적으로 온전한 상태로 화학흡착한다. 기본적으로 온전하다는 것의 의미는 휘발성 리간드들이 전구체 분자 종이 표면에 화합흡착될 때 전구체 분자에서 떨어진다는 것이다. 상기 표면은 반응 지점의 제1 유형, 즉 제1 전구체 분자의 흡수된 종이 실질적으로 포화되게 된다. 화학흡착 단계 다음으로 제1 퍼지 단계(퍼지 A)가 후속되는데, 여기서 과도한 제1 전구체 및 가능한 반응 부산물들은 반응 공간에서 제거된다. 제 2 전구체 증기는 반응 공간으로 유도된다. 제 2 전구체 분자는 제 1 전구체 분자의 흡수된 종과 반응하게 되어 원하는 박막 물질을 형성하게 된다. 이러한 성장은 흡수된 제 1 전구체의 전체 양이 소비되고 표면이 반응 지점의 제 2 유형으로 근본적으로 포화되면 종료된다. 과도한 제 2 전구체 증기와 가능한 반응 부산물 증기는 제 2 퍼지 단계(퍼지 B)에 의해 제거된다. 상기 싸이클은 필름이 원하는 두께로 성장할 때까지 반복되게 된다. 증착 싸이클은 보다 복잡해질 수 있다. 예를 들어, 상기 싸이클은 퍼지 단계에 의해 분리된 3개 이상의 반응 증기 펄스를 포함한다. 모든 이러한 증착 싸이클은 논리 단위 또는 마이크로프로세서에 의해 제어되는 시간 조절된 증착 순서를 형성한다.
ALD에 의해 성장된 박막은 소밀하며 핀홀이 없으며, 균일한 두께를 가진다. 예를 들어, 트리메틸알루미늄 (CH3)3Al 으로부터 성장된 산화 알루미늄은 TMA 로 지칭되며 250-300℃의 물은 100-200mm 웨이퍼에 대하여 약 1% 비-균일성을 가지는 것이 일반적이다. ALD 에 의해 성장된 산화 금속 박막은 게이트 유전체, 전장 발광 디스플레이 절연체, 캐패시터 유전체 및 패시베이션 레이어에 적합하다. ALD 에 의해 성장된 박막 질화 금속은 디퓨젼 배리어, 예를 들어 이중 물결무늬 구조에 적합하다.
다양한 ALD 반응기에서의 ALD 단계에 적합한 전구체들은 예를 들어 본 발명에 편입되는 R. Puurunen, "Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process", J. Appl. Phys., 97 (2005), p. 121301 의 리뷰 기사에 설명되고 있다.
일반적인 반응기에서, ALD 증착 싸이클은 단일 웨이퍼 또는 기판에 적용된다. 이러한 종류의 단일 웨이퍼 처리는 R&D 목적에 대하여 만족스러운 반면에, 서비스 간의 평균 시간 또는 제품의 시스템 효율과 같은 가능한 대량 생산의 요건에 부합하지 않는다.
본 발명의 목적은 배치(batch) 반응기에서 기판 또는 웨이퍼의 배치의 표면상에서의 성장 물질에 적합한 장치 및 방법을 제공하는 것이다.
본 발명의 제 1 특징에 따르는 방법은,
증착 반응기의 반응 챔버에 적어도 하나의 일피드 라인을 따라 증구체 증기를 가이드하는 단계와;
반응 챔버에서 전구체 증기의 수직 유동을 일으키며 수직하게 배치된 기판들 사이에서 수직 방향으로 유입되게 함으로써 반응 챔버에서 수직하게 배치된 기판의 배치의 표면에 물질을 증착하는 단계를 포함한다.
본 발명의 일실시예는 장치 및 단단한 기판 핸들링 시스템 내에서 신규한 가스 유동 형태를 제공하게 된다.
일실시예에서, 수직 유동의 방향은 상부로부터 바닥을 향한다. 일실시예에서, 수직하게 놓인 기판들은 기판 홀더에서 균일한 수평 공간으로써 수직하게 놓인 기판의 수평 방향 적층부를 형성한다.
일실시예에서, 수직하게 놓인 기판의 배치는 이동식 기판 홀더에 나란하게 놓인 웨이퍼 세트를 포함하며, 여기서 상기 웨이퍼 세트는 적어도 2개의 웨이퍼를 포함한다. 일실시예에서, 기판 또는 웨이퍼의 개수는 2개를 초과하는데, 예를 들어, 2개, 10개, 12개, 25개, 또는 그 이상으로, 어떤 실시예에서는 8-25개의 범위, 다른 실시예에서는 그 이상의 개수일 수 있다. 상기 기판은 예를 들어 3-12" 웨이퍼인 실리콘 웨이퍼와 같은, 반도체 웨이퍼일 수 있다. 일실시예에서, 상기 기판은 압전 모놀리스의 배치와 같은 세라믹 부재 또는 플레이트일 수 있다. 일실시예에서, 상기 기판은 금속구와 같은 다양한 기하학적 형상의 금속 부재를 포함한다.
일실시예에서, 상기 기판 홀더는 이동식 반응 챔버 리드에 부착된다. 일실시예에서, 전구체 증기는 상기 반응 챔버 리드를 통하여 반응 챔버로 공급된다.
일실시예에서, 전구체 증기는 반응 챔버 리드를 통하여 확장 부피부로 가이드되며 상기 기판을 탑재한 반응 챔버의 일부로 배분 플레이트를 통하여 수직하게 연장 공간으로 가이드된다.
일실시예에서, 반응 챔버 크기는 수직하게 배치된 기판의 배치의 크기에 대하여 또는 상기 기판을 지지하는 기판 홀더의 크기에 대하여 적합화된다. 이러한 방식으로, 전구체 소비에서의 절감이 가능하게 된다. 일실시예에서, 반응 챔버의 크기는 예를 들어 반응 챔버 본체를 교체하거나 연결부품으로써 조절될 수 있다.
본 발명의 제 2 특징에 따른 장치는,
증착 반응기의 반응 챔버에 전구체 증기를 공급하기 위한 구성의 적어도 하나의 인피드 라인; 및
반응 챔버에서 전구체 증기의 수직 유동을 일으키고 수직하게 배치된 상기 기판들 사이에서 수직하게 유입되게 함으로써 반응 챔버에서 수직하게 배치된 기판들의 배치의 표면에 물질을 증착하기 위한 구성의 반응 챔버를 포함한다.
일실시예에서, 상기 장치는 고정식 반응 챔버 본체와 다중 기판을 위한 기판 홀더를 하우징할 수있는 이동식 반응 챔버 리드를 포함한다.
일실시예에서, 상기 배치는 상기 반응기의 상부면으로부터 접근가능하게 되어 있다.
상기 방법 및 장치는 대기 압력 보다 낮은 압력하에서 순차적인 자기-포화 표면에 의해 가열된 표면상에 박막 또는 물질을 성장시키기 위한 것이다. 상기 장치는 ALD (Atomic Layer Deposition) 또는 ALE(Atomic layer Epitaxy) 장치이거나 이와 유사하다. 박막의 원하는 두께는 하나의 모노레이어 또는 분자 레이어로부터 1000nm 까지 또는 그 이상으로 연장되는 영역에 있을 수 있다.
본 발명의 다양한 실시예는 첨부된 청구범위와 상세한 설명에서 예시된다. 이러한 실시예들은 본 발명의 선택된 특징을 참조하여 설명된다. 통상의 기술자는 본 발명의 실시예를 동일한 특징 내에서 다른 실시예와 조합할 수 있다. 또한, 임의의 실시예는 다른 실시예와 조합하여 또는 단독으로 다른 특징에 적용될 수 있다.
본 발명에 따르면 배치(batch) 반응기에서 기판 또는 웨이퍼의 배치의 표면상에서의 성장 물질에 적합한 장치 및 방법을 제공할 수 있게 된다.
본 발명은 첨부한 도면을 참조하여 예시적으로 설명된다.
도 1은 일실시예에 따른 인피드 라인 및 배출 라인을 구비한 증착 반응기의 반응 챔버의 단면도이다.
도 2는 도 1의 증착 반응기의 반응 챔버의 다른 단면도이다.
도 3은 선택적인 실시예를 보여주는 도면이다.
도 4는 도 1의 장치의 조립도이다.
도 5는 다른 실시예에 따른 반응 챔버의 조립도이다.
도 6은 도 5의 반응 챔버의 정면도이다.
도 7은 도 6의 A-A 선을 따른 단면도이다.
도 8은 일실시예에 따른 도 8의 증착 반응기의 단면도이다.
도 9는 개방 위치에서 도 8의 증착 반응기의 단면도이다.
도 10은 개방 위치에서 도 8의 증착 반응기의 다른 단면도이다.
도 11은 개방 위치에서의 반응기 리드와 그 위치에서 반응기 내부의 기판 홀더의 도 8의 증착 반응기의 다른 단면도이다.
도 12는 기본 위치에서 도 8의 증착 반응기의 단면도이다.
도 13은 기본 위치에서 도 8의 증착 반응기의 다른 단면도이다.
도 14는 일실시예에 따른 반응 챔버에 대한 기판 홀더 부착 상태를 보여주는 상세도이다.
도 15는 도 14에 도시된 도면을 보여주는 다른 도면이다.
아래의 설명에서, 원자 레이어 증착 (ALD) 기술은 예시적으로 사용된다. 그러나, 그 목적은 그 기술에 한정되지 않으며 임의의 실시예들은 다른 비교될 수 있는 원자-수준 증착 기술을 사용하는 장치 및 방법에도 적용될 수 있다.
기본적인 ALD 성장 메커니즘은 통상의 기술자에게 알려져 있다. ALD 방법의 구체적인 사항들은 본 발명의 서두에 설명되어 있다. 이러한 상세 사항들은 반복되지 않을 것이지만 그 점에 있어서 서두를 참조하여야 한다.
도 1은 ALD 장치 (또는 반응기)의 단면을 상세히 도시한다. 이러한 장치는 반응 챔버 본체(110), 반응 챔버 상부 플랜지(120), 반응 챔버 리드(130)에 의해 형성된 반응 챔버를 포함한다. 상기 장치는 반응 챔버 인피드 라인(141, 142) 및 반응 챔버 배기 가이드(150)를 추가로 포함한다. 인피드 라인의 개수는 구현 상태에 따라 가변적이다.
기판 홀더(160)는 반응 챔버의 바닥으로 하강된다. 상기 기판 홀더(160)는 수직하게 배치된 기판 또는 웨이퍼(170)의 배치를 지지하게 된다.
전구체 증기 펄스 기간 동안에, 전구체 증기는 상부 플랜지(129)를 통하여 가공된 채널을 통하여 하측으로부터 반응 챔버 리드(130)로 수직 방향으로 인피드 라인(141)을 따라 (101 화살표로 도시) 유동하게 된다. 이러한 유동으로 인하여 리드(130)에서 화살표 102로 도시된 바와 같이 90도 회전하게 되고 수평 도관을 통하여 수평 방향으로 기판(170)위의 공간으로 유입되게 된다. (그러나 회전이 반드시 90도일 필요는 없다). 이러한 공간은 확장 부피부(180)로 표시된다. 상기 확장 부피부(180) 아래에서, 상기 장치는 예를 들어 메쉬 형태이거나 천공된 플레이트이며 상기 리드(130)에 부착되는 분배부(또는 플레이트)(190)를 포함한다. 이러한 유동은 상기 확장 부피부(180)에서 다른 회전을 일으키며 화살표 103으로 도시된 바와 같이 반응 챔버의 반응 공간으로 분배부를 통하여 수직하게 상부에서 하부 방향으로 유입된다. 상기 반응 공간에서, 전구체 증기는 수직하게 배치된 기판(170) 들 사이에서 수직한 방향으로 유입된다. 상기 기판(170)들 사이에서 바로 인접한 공간에서, 상기 전구체 유동은 기판 표면상에서 반응 지점과 반응한다. 일실시예에서, 상기 전구체 유동은 반응 챔버의 상부측으로부터 배출 가이드(150)를 향하여 반응 챔버의 하부측으로 기판의 근본적으로 나란한 표면을 따라 수직하게 진행한다. 반응 부산물 및 잔류하는 기체상의 전구체 분자는 화살표 104로 표시된 바와 같아 후속 퍼지 단계에서 반응 챔버로부터 제거된다.
일실시예에서, 상기 인피드 라인(141)은 제1전구체와 불활성 캐리어의 전구체 증기 및 퍼지 가스를 공급하는데 사용되고 상기 인피드 라인(142)은 제2전구체와 불활성 가스의 전구체 증기 및 퍼지 가스를 반응 챔버에 공급하는데 사용된다.
선택적인 실시예에서, 전구체 증기는 상기 리드(130: 도 1에 미도시)를 통하여 가공된 채널을 통하여 측면으로부터 수평방향으로 반응 챔버 리드(130)로 유동하게 된다. 이러한 실시예에서, 상기 상부 플랜지는 언급된 수직 채널을 구비할 필요는 없다. 다른 실시예에서, 전구체 증기는 다시 하측으로부터 반응 챔버 리드(130)로 수직하게 유입되지만 상기 상부 플랜지(120)를 완전히 통과한다. 이러한 실시예에서, 상기 상부 플랜지(120)의 수평 직경은 예를 들어 통과를 가능하게 하기 위하여 상기 리드(130)의 수평 직경보다 작다.
도 2는 도 1의 장치의 다른 단면도이다. 이러한 도면에서, 단면은 도 1에 비교하여 90도 회전된 가상의 평면에서 얻어진다. 만약 도 1의 단면이 정면도를 나타낸다면, 도 2의 단면은 예를 들어 좌측에서 본 것을 도시한다.
기판 홀더(160)에서 기판(또는 웨이퍼: 170)을 위치시키는 것은 도 2에 더 잘 도시되어 있다. 기판(170)은 수직 위치로 배치되어 각 기판(170)의 표면은 수직 평면에 배치된다. 상기 기판(170)은 기판 홀더(160)에서 서로 라인을 이루어 배치되며, 라인을 이루었다고 언급될 때에는 서로 나란하다고 할 수 있다. 상기 기판(170)은 기판홀더(160)에 의해 지지된다.
가판(170)들 사이의 공간은 작아서 반응 공간의 효율을 향상시킬 수 있다. 그러나 상기 공간은 넓어서 전구체 유동은 기판(170)들 사이에서 적절하게 유입될 수 있게 된다. 임의의 실시예에서, 실질적으로 균일한 공간은 1-10mm의 범위에서 선택되는 것이 일반적인데 일실시예에서는 2-5mm 의 범위에서 선택될 수도 있다. 도 1 및 2에 도시된 실시예에서 배치에서의 기판의 개수는 16이다.
반응 챔버 크기는 수직하게 배치된 기판의 배치의 크기를 위하여 또는 상기 기판을 지지하는 기판 홀더의 크기를 위하여 특별히 최적화될 수 있다. 이러한 방식으로, 전구체 소비의 절감이 가능하게 된다.
임의의 실시예에서, 반응 챔버의 크기는 예를 들어 반응 챔버에 공간 제한 연결부를 삽입함으로써 또는 반응 챔버 또는 반응 챔버 본체(110)를 다른 크기의 것으로 교체함으로써 조절될 수 있다.
도 3은 다른 실시예로서 도 1의 장치의 다른 단면을 도시한다. 이러한 실시예에서, 반응 챔버는 작은 양의 기판(170)을 가지는 얇은 기판 홀더(160)를 포함하는 얇은 반응 챔버이다. 이 실시예에서 기판의 개수는 2개이다. 도 3에 도시된 얇은 반응기는 예를 들어 도 2에 도시된 큰 (또는 일반적인 크기의) 반응 챔버를 보다 얇은 것으로 교체함으로써 얻어진다.
도 2 및 도 3에 도시된 각각의 장치에서, 기판(170)을 지지하는 기판 홀더(160)의 크기는 기판(170)을 가진 기판 홀더(160)가 반응 챔버의 바닥부분을 실질적으로 채우도록 선택된다. 이러한 방식으로 전구체의 소비 효율이 향상된다.
도 4는 도 1의 장치의 조립도이다. 기판 홀더(160)는 외측 리프트 장치(도 4에 미도시)를 가진 리프트부 또는 후크(465)상에서 그립하거나 원하는 방향으로 이동시킴으로써 상기 반응 챔버에서 들어올려지거나 반응 챔버로 하강될 수 있다. 이동식 반응 챔버 리드(130)는 반응 챔버 상부 플랜지(120)에 대하여 가압되고 공차 또는 근접 실링부에 의해 밀봉될 수 있다. 공차 실링부는 근본적으로 유사한 2개의 표면(평평하고 매끄러운 표면 또는 유리 비드 블라스팅과 같이 거칠기 가공된 평평한 표면)은 상기 표면들 사이에서 기체의 유동을 방지하도록 서로 밀접하게 접촉한다.
상기 기판 홀더(160)는 스테인레스 스틸, 니켈, 티타늄, 실리콘 카바이드(예를 들어 화학 증기 침투에 의해 그라파이트로부터 만들어진 SiC) 또는 수정을 포함한다. 일실시예에서, 기판 홀더(160)는 기판 홀더를 사용하기 전에 부식성 소스 화합물에 대하여 홀더 기판을 보호하기 위하여 비정질 박막(예를 들어 100-200 nm 의 Al2O3)로 코팅된다.
도 5는 다른 실시예에 따른 반응 챔버의 조립도이다. 이러한 실시예에서, 실질적으로 사각형의 반응 챔버 상부 플랜지(120)에 연결된 3개의 인피드 라인(141-143)이 존재한다. 반응 챔버는 서비스 또는 교체를 위하여 제거식 리프트 아암(515)으로써 반응기로부터 들어올려질 수 있다. 상기 기판 홀더(160)는 외부 리프트 장치(568)로써 리프트부 또는 후크(465)상에서 그립함으로써 반응 챔버로부터 들어올려지거나 반응 챔버로 하강될 수 있다.
도 6은 도 5의 반응 챔버의 기본적인 위치(폐쇄 위치)의 반응 챔버의 정면도이다. 이동식 반응 챔버 리드(130)는 공차 또는 근접 실링부로써 반응 챔버 상부 플랜지(120)에 대하여 밀봉된다.
도 7은 도 6에 도시된 A-A 라인을 따라 반응 챔버를 절개한 단면도이다. 전구체 즈익 펄스 기간 동안에, 전구체 증기는 수직한 방향으로 화살표 701로 도시된 바와 같이 인피드 라인(143)을 따라 유동한다. 이러한 유동은 90도 회전을 일으키고 측면으로부터 반응 챔버 상부 플랜지(120)로 수평 방향으로 유입된다(그러나 회전이 반드시 90도일 필요는 없다). 상기 전구체 증기의 유동은 상부 플랜지(120) 내측의 수평 도관을 따라 계속되며 확장 부피부(180)로 유입된다. 확장 부피부(180) 아래에서, 상기 장치는 예를 들어 메쉬 또는 천공된 플레이트인 분배부(또는 플레이트)(190)를 포함한다. 이러한 실시예에서, 상기 분배부(190)는 스페이서 핀(785)로써 반응 챔버 리드(130)에 부착된다. 이러한 유동은 확장 부피부(180)에서 또다른 회전을 일으키며, 화살표 103으로 도시된 바와 같이 반응 챔버의 반응 공간으로 분배부(190)를 통하여 수직하게 상부에서 하부 방향으로 유입된다. 반응 공간에서, 상기 전구체 증기는 기판 홀더(160)(비록 기판(170)은 도 7에 도시되어 있지는 않지만)에 의해 지지되는 수직하게 배치된 기판(170)들 사이에서 수직하게 유입된다. 이로부터 도 1과 관련하여 설명된 바와 같이 과정이 유사하게 계속된다.
도 8은 일실시예에 따른 개방 위치의 증착 반응기의 상세한 사시도이다. 상기 반응기는 라운드 연결부, 예를 들어 니플에 볼트 연결된 플랜지를 가진 ISO 완전 니플 또는 CF 연결부 또는 이에 유사한 것에 의해 형성되는 진공 챔버(805)를 포함한다. 상기 연결부의 폭은 실시예에 따라 100-300 mm 의 배치의 웨이퍼 및 히터를 위한 반응 챔버를 수용할 수 있는 크기이다.
진공 챔버 리드(831)는 반응 챔버 리드(130)와 일체로 되어 리드 시스템을 형성한다. 기판(170)의 배치를 지지하는 기판 홀더(160)는 수평하게 서로 이웃하게 수직하게 배치되며, 상기 리드 시스템에 부착된다. 상기 반응 챔버는 상부로부터 기판(170)을 구비한 기판 홀더(160)가 부착되는 리드 시스템을 하강시켜서 수직하게 로딩된다. 이러한 것은 예를 들어 적절한 로딩 장치에 의해 행해질 수 있다. 장치 커버(895)는 리스 시스템이 연결되는 개구를 구비한다.
도 9는 개방 위치에서 도 8의 증착 반응기의 단면을 도시한다. 상기 기판 홀더(160)는 리스 시스템의 대응부에 그 상부 부착부 또는 후크(465)로써 부착된다. 상기 분배부(190)는 스페이서 핀(785)으로써 리드 시스템에 부착된다.
도 10은 개방 위치에서 도 8의 증착 반응기의 사시 단면도이다. 반응 챔버 인피드 라인(141, 142)은 도 10에 도시된다.
도 11은 개방 위치에 반응기 리드가 있고 반응 챔버 내부에 기판 홀더가 있는 도 8의 증착 반응기의 또다른 사시 단면도이다.
도 12는 기본적인 작동 위치에서 도 8의 증착 반응기의 사시 단면도이다.
도 13은 기본적인 작동 위치에서 도 8의 증착 반응기의 또다른 단면도이다. 이러한 예에서, 배치의 기판들의 개수는 25개이다. 전구체 증기 펄스 기간 동안에, 전구체 증기는 상부 플랜지(120)를 통하여 가공된 채널을 경우하여 하측으로부터 반응 챔버 리드(I130)로 수직방향으로 인피드 라인(141)(화살표 101로 도시된 바와 같이)을 따라 유동한다. 이러한 유동은 리드(130)에 화살표 102로 표시한 바와 같은 90도 회전을 일으키며 수평 도관을 통하여 기판(170) 위에서 확장 부피부(180)으로 수평하게 유입된다 (여기서 회전은 90도에 한정되는 것은 아니다). 확장 부피부(180) 아래에서, 상기 장치는 예를 들어 메쉬 또는 천공된 플레이트이며 리드(130)에 부착되는 분배부(또는 플레이트)(190)를 포함한다. 이러한 유동은 확장 부피부(180)에서 다른 회전을 일으키며 화살표 103으로 도시된 바와 같이 반응 챔버의 반응 공간으로 분배부를 통하여 상부에서 수직하게 하부로 유입된다. 반응 공간에서, 상기 전구체 증기는 수직한 위치에서 기판 홀더에 배치된 기판(17)들 사이에서 수직하게 유입된다. 기판(170)들 사이의 중간 위치에서, 상기 전구체 유동은 기판 표면상에서 반응 지점과 반응한다. 상기 전구체 유동은 배기 가이드(150)를 향하여 기판 표면을 따라 수직하게 진행된다. 반응 부산물 및 잔류 전구체 분자들은 후속 퍼지 단계(화살표 104로 표시)에서 반응 챔버에서 제거된다.
상기 반응 공간의 온도는 히터 요소에 의해 제어될 수 있다. 일실시예에 따르면, 반응 공간의 가열은 하나 이상의 저항기(1301)에 의해 이루어진다. 일실시예에서, 가열 저항기(1301)는 전기적으로 가열된다. 그들은 컴퓨터 제어 전원(미도시)에 배선된다.
도 14는 일실시예에 따른 반응 챔버 리드에 부착된 기판 홀더를 상세히 도시한다. 상기 기판 홀더(160)는 리드 시스템에서 대응부(1456)에 그 부착부 또는 후크(465)로써 부착된다. 상기 분배부(190)는 스페이서 핀(785)으로써 리드 시스템에 부착된다.
도 15는 도 14에 도시된 도면의 다른 도면이다. 전구체 또는 불활성 퍼지 가스가 반응 챔버 리드(130)에 유입되는 각각의 인피드 라인(141-143)을 위한 반응 챔버 리드(130)의 분배부(190) 및 홀(1521-1523)이 도시된다. 반응 챔버 리드(130)에서의 홀의 개수 및 관련된 인피드 라인의 개수는 2 내지 4개로 가변적이며 인피드 라인와 연통되는 컴퓨터 제어 유동 2개 이상의 소스 시스템인 소스 화합물 증기를 수용하기 위해서는 그 이상의 개수도 가능하다.
아래 사항은 기판 배치상의 박막을 증착하는 예를 보여준다(전술한 도 1-15를 참조하였다).
반응 챔버는 실압(room pressure)으로 우선 가압되었다. 반응 챔버 리드(130)는 반응 챔버의 내부 공간을 노출시키는 상부 위치로 리프트 메커니즘(미도시)에 의해 들어올려졌다. 상기 리프트 메커니즘은 공압식 엘리베이터로 작동되었다. 다른 실시예에서, 스테핑 모터가 리프트 메커니즘을 위하여 사용될 수 있다. 다수의 기판이 로딩된 기판 홀더(160)는 반응 챔버 본체(110) 내에서 리프트부(465)로써 하강되었다. 반응 챔버 리드(130)는 반응 챔버를 밀봉하는 하부 위치로 리프트 메커니즘에 의해 하강되었다. 동시에 주변 진공 챔버(805)는 반응 챔버 리드(130)가 진공 챔버 리드(831)에 서로 부착되는 이중 리드 시스템에서 이동식 진공 챔버 리드(831)로써 방의 공기에 대하여 밀봉되었다. 반응 챔버는 진공 소스에 의해 진공으로 펌핑되었다. 불활성 퍼지 가스는 반응 챔버 상부 플랜지(120) 내에서 도관으로 인피드 라인(141-143)을 통하여 그리고 반응 공간으로 유동되는 질소와 아르곤을 포함한다. 진공 소스로 펌핑하고 불활성 가스로 퍼징하는 것의 조합은 약 1-5 hPa 절대압으로 반응 공간의 압력을 바람직하게 안정화시켰다. 상기 기판 홀더(160)의 온도는 증착 온도로 안정화되었다. 이러한 예에서, 상기 증착 온도는 트리메틸알루미늄(TMA) 및 수증기(H2O)로부터 ALD에 의해 산화 알루미늄(Al2O3)을 성장시키기 위한 +300℃이다. TMA 소스(미도시)는 제1 인피드 라인(141)과 연통하는 컴퓨터 제어 유동이었다. H2O 소스(미도시)는 제 2 인피드 라인(142)과 연통하는 컴퓨터 제어 유동이었다. 제 3 인피드 라인(143)은 제3 화합물 소스를 위하여 유보되었다. 이러한 예에서, 인피드 라인은 불활성 퍼지 가스만을 위하여 사용되었다. 프로그래밍된 증착 온도에 도달되면, 증착 순서는 자동 제어 시스템에 의해 활성화된다. 펄스(A) 기간 동안에, TMA 증기는 제 1 인피드 라인(141)으로 자동 펄스 밸브(미도시)에 의해 도입되며 TMA가 반응 공간 내에서 모든 가열된 표면 상에서 화학 흡착되는 반응 공간으로 질소 가스(다른 실시예에서는 아르곤 가스도 가능함)를 포함하는 불활성 가스로써 푸쉬된다. 기판 표면은 TMA 분자 또는 기판 배치의 크기에 따라 약 0.05-1 초 동안 TMA 분자로부터 발생된 리간드 결핍 종으로써 포화된다. TMA 소스가 제 1 인피드 라인(141)으로부터 제 1 자동 펄스 밸브와 분리된 후에 상기 시스템은 퍼지 A 주기를 착수했다. 인피드 라인(141-143)을 유동하는 불활성 가스는 잔류 기체상 TMA 분자 및 반응 챔버로부터 배기 가이드(150)로 그리고 추가적으로 진공 소스(미도시)를 향하여 표면 반응 부산물을 푸쉬하였다. 퍼지 A 주기는 기판 배치의 크기에 따라 약 1-10초 지속되었다. 다음으로, 펄스 B 주기동안, 수증기(H2O)는 제 2 인피드 라인(142)으로 자동 펄스 밸브(미도시)에 의해 도입되었고 H2O 분자가 반응 공간 내에서 가열된 모든 표면상에서 화학흡착되는 반응 공간으로 질소 또는 아르곤 가스를 포함하는 불활성 캐리어 가스를 푸쉬하였다. 기판 표면은 기판 배치의 크기에 따라 약 0.05-2 초 내에 OH- 리간드로 포화되었다. 그후, 퍼지 B 주기의 초기에, H2O 소스는 제2 자동 펄스 밸브에 의해 제 2 인피드 라인(142)과 분리되었다. 반응 챔버로 인피드 라인(141-143)을 통하여 유동하는 불활성 가스는 반응 챔버로부터 배기 가이드(150)로 나아가 진공 소스(미도시)를 향하여 잔류하는 기체상의 H2O 분자와 표면 반응 산물을 푸쉬하였다. 이러한 4가지 단계(펄스 A, 퍼지 A, 펄스 B, 퍼지 B)는 기판 표면상에 1옴스트롱의 새롭게 OH-종료된 Al2O3 박막을 형성하였다. 자동 펄스 순서는 이러한 4 단계를 500번 반복하여 그 결과 100 mm 실리콘 웨이퍼의 25 피스에 대하여 우수한 1%의 비균일성을 가진 50 nm 의 Al2O3 박막이 성장되었다. 소스 화합물을 펄스하고 반응 챔버를 퍼징하는 순서를 종료한 후에, 반응 챔버는 실압으로 가압되었으며, 상기 리드(진공 챔버 리드(831) 및 반응 챔버 리드(130))는 기판 배치를 하우징하는 반응 챔버의 내부 공간을 노출시키는 상부 위치로 들어올려졌다. 다수의 기판(미도시)을 가지는 기판 홀더(160)는 반응 챔버 본체(110)로부터 리프트부(465)에 의해 언로딩되었고 별도의 냉각 테이블(미도시)에 배치되었다.
다양한 실시예가 설명되었다. 본 발명에서, 포함한다는 용어는 폐쇄적으로 의도된 것이 아니라 개방형으로 끝나는 것을 의미한다.
전술한 설명은 본 발명의 특정 실시예와 구현예를 비제한적으로 설명하기 위하여 제공되었으며, 최적의 모드에 대한 전체적인 설명은 본 발명을 실시하는 발명자에 의해 고려되었다. 그러나, 통상의 기술자는 전술한 실시예에 한정되지 않으며 본 발명의 특징을 벗어나지 않는 범위 내에서 균등한 수단을 사용하는 다른 실시예도 구현될 수 있다.
또한, 본 발명의 전술한 특징들은 다른 특징들의 대응되는 사용없이도 장점이 될 수 있다. 이에 따라 전술한 설명들은 본 발명의 사상을 단지 도식적으로 보여주는 것으로 이해되어야 하며 그에 한정되지 않는다. 따라서, 본 발명의 범위는 첨부된 청구범위에 의해서만 제한된다.
101: 전구체 증기 110: 반응 챔버
141: 인피드 라인 160: 기판 홀더
170: 기판 120: 상부 플랜지
130: 반응 챔버 리드 568: 리프트 장치
190: 분배부 180: 확장 부피부부

Claims (19)

  1. 진공 챔버 리드에 부착된 반응 챔버 리드를 구비하는 이중 리드 시스템을 가진 원자 레이어 증착 (ALD) 반응기를 제공하는 단계;
    상기 이중 리드 시스템의 반응 챔버 리드에 의해 ALD 반응기의 반응 챔버를 밀봉하는 단계;
    상기 이중 리드 시스템의 진공 챔버 리드에 의해 상기 반응 챔버를 둘러싸는 ALD 반응기의 진공 챔버를 밀봉하는 단계;
    상기 이중 리드 시스템의 상기 반응 챔버 리드를 통하여 적어도 하나의 인피드 라인을 따라 상기 반응 챔버로 전구체 증기를 가이드하는 단계;
    상기 반응 챔버에서 전구체 증기의 수직 유동을 일으키고 수직하게 놓인 기판들 사이에서 수직한 방향으로 전구체 증기를 유입시켜서 반응 챔버에서 수직하게 놓인 기판의 배치(batch)의 표면상에 물질을 증착하여, 상기 전구체 증기는 반응 공간의 상부측으로부터 반응 공간의 하부측으로 각각의 상기 표면들을 따라 기본적으로 나란하게 수직한 방향으로 유동하는 단계를 포함하는 방법.
  2. 제 1 항에 있어서,
    수직하게 놓인 상기 기판의 배치는 기판 홀더로 나란하게 놓인 웨이퍼 세트를 포함하며, 상기 웨이퍼 세트는 적어도 2개의 웨이퍼를 포함하는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 기판 홀더는 상기 반응 챔버로 로딩되고 상기 반응 챔버의 상부측으로부터 반응 챔버에서 언로딩되는 것을 특징으로 하는 방법.
  4. 제 2 항에 있어서,
    상기 기판 홀더는 이동식 반응 챔버 리드에 부착되는 것을 특징으로 하는 방법.
  5. 삭제
  6. 제 4 항에 있어서,
    상기 전구체 증기는 반응 챔버 리드를 통하여 확장 부피부로 가이드되고 상기 기판을 탑재하고 있는 반응 챔버의 일부로 분배 플레이트를 통하여 수직한 방향으로 확장 부피부로부터 가이드되는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서,
    박막은 순차적인 자기 포화 표면 반응에 의해 기판 표면에서 증착되는 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서,
    상기 반응 챔버의 크기는 수직하게 놓인 기판의 배치의 크기에 따라 정해지거나 상기 기판을 지지하는 기판 홀더의 크기에 따라 정해지는 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서,
    수직 유동은 상부로부터 하부를 향하는 것을 특징으로 하는 방법.
  10. 진공 챔버 리드에 부착된 반응 챔버 리드를 포함하는 이중 리드 시스템;
    상기 이중 리드 시스템의 상기 반응 챔버 리드에 의해 밀봉될 수 있는 반응 챔버;
    상기 이중 리드 시스템의 상기 진공 챔버 리드에 의해 밀봉될 수 있는 상기 반응 챔버를 둘러싸는 진공 챔버;
    상기 이중 리드 시스템의 상기 반응 챔버 리드를 통하여 상기 반응 챔버로 전구체 증기를 공급하도록 된 적어도 하나의 인피드 라인; 및
    상기 반응 챔버에서 전구체 증기의 수직 유동을 일으키고 수직하게 놓인 기판들 사이에서 수직하게 전구체 증기를 유입시켜서 상기 반응 챔버에서 수직하게 놓인 기판의 배치(batch)의 표면상에 물질을 증착하여, 상기 전구체 증기는 반응 공간의 상부측으로부터 반응 공간의 하부측으로 각각의 상기 표면들을 따라 기본적으로 나란하게 수직한 방향으로 유동하도록 된 반응 챔버를 포함하는 장치.
  11. 제 10 항에 있어서,
    수직하게 놓인 기판들의 배치는 기판 홀더로 나란하게 놓인 웨이퍼 세트를 포함하여 상기 웨이퍼 세트는 적어도 2개의 웨이퍼를 포함하는 것을 특징으로 하는 장치.
  12. 제 11 항에 있어서,
    상기 기판 홀더에 부착되도록 된 반응 챔버 리드를 포함하는 것을 특징으로 하는 장치.
  13. 삭제
  14. 제 12 항에 있어서,
    상기 장치는 상기 반응 챔버를 통하여 확장 부피부로 상기 전구체 증기를 가이드하고 상기 기판을 탑재한 반응 챔버의 일부로 분배 플레이트를 통하여 수직한 방향으로 확장 부피부로부터 가이드하도록 된 것을 특징으로 하는 장치.
  15. 제 10 항에 있어서,
    상기 장치는 순차적인 자기 포화 표면 반응에 의해 기판 표면상에 박막을 증착하도록 된 것을 특징으로 하는 장치.
  16. 제 10 항에 있어서,
    상기 반응 챔버의 크기는 수직하게 놓인 기판들의 배치의 크기 또는 상기 기판을 지지하는 기판 홀더의 크기에 따라 정해지는 것을 특징으로 하는 장치.
  17. 제 10 항에 있어서,
    상기 수직 유동은 상부에서 하부로 향하는 것을 특징으로 하는 장치.
  18. 제 14 항에 있어서,
    반응 챔버 상부 플랜지를 추가로 구비하여,
    상기 전구체 증기는 상기 반응 챔버 상부 플랜지를 통하여 가공된 채널을 통하여 하측으로부터 상기 이중 리드 시스템의 상기 반응 챔버 리드로 수직 방향으로 적어도 하나의 인피드 라인을 따라 유동하도록 된 것을 특징으로 하는 장치.
  19. 제 6 항에 있어서,
    전구체 증기는 반응 챔버 상부 플랜지를 통하여 가공된 채널을 통하여 하측으로부터 상기 이중 리드 시스템의 상기 반응 챔버 리드로 수직 방향으로 적어도 하나의 인피드 라인을 따라 유동하는 것을 특징으로 하는 방법.
KR1020107029306A 2008-05-27 2009-05-25 증착 반응기를 위한 방법 및 장치 KR101642331B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/154,879 2008-05-27
US12/154,879 US10041169B2 (en) 2008-05-27 2008-05-27 System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor

Publications (2)

Publication Number Publication Date
KR20110031431A KR20110031431A (ko) 2011-03-28
KR101642331B1 true KR101642331B1 (ko) 2016-07-29

Family

ID=41376638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107029306A KR101642331B1 (ko) 2008-05-27 2009-05-25 증착 반응기를 위한 방법 및 장치

Country Status (8)

Country Link
US (2) US10041169B2 (ko)
EP (1) EP2286006B1 (ko)
JP (1) JP5646463B2 (ko)
KR (1) KR101642331B1 (ko)
CN (1) CN102046856B (ko)
ES (1) ES2587394T3 (ko)
RU (1) RU2502834C2 (ko)
WO (1) WO2009144371A1 (ko)

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI475129B (zh) * 2010-12-15 2015-03-01 Ncd Co Ltd 薄膜沉積方法及其系統
JP5699980B2 (ja) * 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
HUP1100436A2 (en) * 2011-08-15 2013-02-28 Ecosolifer Ag Gas flow system for using in reaction chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2783023B1 (en) * 2011-11-22 2020-11-04 Picosun Oy Method of atomic layer deposition for processing a batch of substrates
RU2600047C2 (ru) * 2012-03-23 2016-10-20 Пикосан Ой Способ и устройство для осаждения атомных слоев
JP5720624B2 (ja) * 2012-05-14 2015-05-20 トヨタ自動車株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
RU2620230C2 (ru) 2012-11-23 2017-05-23 Пикосан Ой Способ загрузки подложки в реактор асо
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
FI125222B (en) 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
JP2015114381A (ja) * 2013-12-09 2015-06-22 東京エレクトロン株式会社 反射防止機能を有する部材およびその製造方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
EP3114249B1 (en) 2014-03-03 2020-07-08 Picosun Oy Protecting an interior of a hollow body with an ald coating
WO2015132443A1 (en) * 2014-03-03 2015-09-11 Picosun Oy Protecting an interior of a gas container with an ald coating
JP6302081B2 (ja) 2014-03-04 2018-03-28 ピコサン オーワイPicosun Oy ゲルマニウムまたは酸化ゲルマニウムの原子層堆積
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP7037551B2 (ja) * 2016-09-16 2022-03-16 ピコサン オーワイ 原子層堆積のための装置および方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6445603B2 (ja) * 2017-03-07 2018-12-26 ピコサン オーワイPicosun Oy Ald反応炉における基板の装填
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111433390B (zh) 2017-12-22 2022-09-27 株式会社村田制作所 成膜装置
CN111465714B (zh) 2017-12-22 2022-06-28 株式会社村田制作所 成膜装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP6582075B2 (ja) * 2018-03-01 2019-09-25 ピコサン オーワイPicosun Oy Aldコーティングによるガスコンテナ内部の保護
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108531870A (zh) * 2018-05-21 2018-09-14 陈宝泗 一种新型真空镀膜装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI130051B (en) 2019-04-25 2023-01-13 Beneq Oy DEVICE AND METHOD
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN112481604B (zh) * 2020-12-03 2023-09-08 无锡邑文电子科技有限公司 一种ald加工设备以及加工方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001240972A (ja) 2000-02-29 2001-09-04 Denso Corp Cvd,ale装置用ガラス基板収納治具
US20060196418A1 (en) * 2005-03-04 2006-09-07 Picosun Oy Apparatuses and methods for deposition of material on surfaces

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US711254A (en) * 1901-06-11 1902-10-14 Mielck S Stone And Terra Cotta Company Composition for artificial stone.
SU954513A1 (ru) 1980-12-25 1982-08-30 Всесоюзный научно-исследовательский и проектный институт тугоплавких металлов и твердых сплавов Установка дл нанесени покрытий из газовой фазы
US4582720A (en) * 1982-09-20 1986-04-15 Semiconductor Energy Laboratory Co., Ltd. Method and apparatus for forming non-single-crystal layer
JPS61251118A (ja) * 1985-04-30 1986-11-08 Fujitsu Ltd 化学気相成長処理方法
US5037775A (en) * 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
SU1811217A1 (ru) * 1990-02-07 1996-08-20 Научно-производственное объединение "Интеграл" Устройство для химического осаждения пленок из газовой фазы
US20020064440A1 (en) * 1994-07-07 2002-05-30 Minoru Ikeda Production line constructing system
US5658028A (en) * 1996-02-02 1997-08-19 Micron Technology, Inc. Vertical wafer carrier handling apparatus
US5674039A (en) * 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US6413355B1 (en) * 1996-09-27 2002-07-02 Tokyo Electron Limited Apparatus for and method of cleaning objects to be processed
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
US6145397A (en) * 1998-10-01 2000-11-14 Applied Materials, Inc. Simple lift assist module
JP3665491B2 (ja) * 1998-10-19 2005-06-29 株式会社スーパーシリコン研究所 エピタキシャル成長炉
IT1308606B1 (it) * 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6395101B1 (en) * 1999-10-08 2002-05-28 Semitool, Inc. Single semiconductor wafer processor
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US6517634B2 (en) * 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6719851B1 (en) * 2000-09-26 2004-04-13 Applied Materials, Inc. Lid assembly for opening a process chamber lid and uses therefor
JP2002187791A (ja) * 2000-12-15 2002-07-05 Canon Inc 液相成長方法および液相成長装置
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6682703B2 (en) * 2001-09-05 2004-01-27 Irm, Llc Parallel reaction devices
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US20050211167A1 (en) * 2002-06-10 2005-09-29 Tokyo Electron Limited Processing device and processing method
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
JP2004292852A (ja) * 2003-03-25 2004-10-21 Denso Corp 薄膜成膜装置および方法
US7002134B2 (en) * 2003-05-09 2006-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dryer lid/robot collision prevention system
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
KR100541559B1 (ko) * 2004-01-29 2006-01-11 삼성전자주식회사 글랜드부를 갖는 배치형 증착 장비
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) * 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001240972A (ja) 2000-02-29 2001-09-04 Denso Corp Cvd,ale装置用ガラス基板収納治具
US20060196418A1 (en) * 2005-03-04 2006-09-07 Picosun Oy Apparatuses and methods for deposition of material on surfaces

Also Published As

Publication number Publication date
US20090297710A1 (en) 2009-12-03
WO2009144371A1 (en) 2009-12-03
JP5646463B2 (ja) 2014-12-24
RU2010150684A (ru) 2012-07-10
RU2502834C2 (ru) 2013-12-27
KR20110031431A (ko) 2011-03-28
US10041169B2 (en) 2018-08-07
CN102046856A (zh) 2011-05-04
EP2286006A1 (en) 2011-02-23
EP2286006A4 (en) 2012-01-18
JP2011523444A (ja) 2011-08-11
ES2587394T3 (es) 2016-10-24
CN102046856B (zh) 2015-11-25
EP2286006B1 (en) 2016-05-18
US20180305813A1 (en) 2018-10-25

Similar Documents

Publication Publication Date Title
KR101642331B1 (ko) 증착 반응기를 위한 방법 및 장치
KR100868953B1 (ko) 기판처리장치 및 반도체장치의 제조방법
US10236198B2 (en) Methods for the continuous processing of substrates
EP2310553B1 (en) Atomic layer deposition apparatus and loading methods
JP4803578B2 (ja) 成膜方法
TWI599673B (zh) 沉積無氟/碳保形鎢之方法
JP4564570B2 (ja) 原子層堆積装置
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
KR101525210B1 (ko) 기판 처리장치
JP4777173B2 (ja) 基板処理装置および半導体装置の製造方法
KR101628786B1 (ko) 기판 처리장치 및 기판 처리방법
KR101513504B1 (ko) 기판 처리장치
KR101570227B1 (ko) 기판 처리장치 및 기판 처리방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190711

Year of fee payment: 4