KR101608368B1 - 기체 혼합물의 라디칼들을 이용한 원자층 증착 - Google Patents

기체 혼합물의 라디칼들을 이용한 원자층 증착 Download PDF

Info

Publication number
KR101608368B1
KR101608368B1 KR1020137024157A KR20137024157A KR101608368B1 KR 101608368 B1 KR101608368 B1 KR 101608368B1 KR 1020137024157 A KR1020137024157 A KR 1020137024157A KR 20137024157 A KR20137024157 A KR 20137024157A KR 101608368 B1 KR101608368 B1 KR 101608368B1
Authority
KR
South Korea
Prior art keywords
substrate
radicals
gas
precursor
delete delete
Prior art date
Application number
KR1020137024157A
Other languages
English (en)
Other versions
KR20130135917A (ko
Inventor
이상인
Original Assignee
비코 에이엘디 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비코 에이엘디 인코포레이티드 filed Critical 비코 에이엘디 인코포레이티드
Publication of KR20130135917A publication Critical patent/KR20130135917A/ko
Application granted granted Critical
Publication of KR101608368B1 publication Critical patent/KR101608368B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판상에 증착된 층의 증착률을 증가시키기 위해 질소 화합물의 혼합물의 라디칼들을 사용하여 원자층 증착(ALD)을 수행하는 것이 개시된다. 질소 화합물 기체들의 혼합물은 라디칼 반응기 내로 주입된다. 질소 화합물 기체들의 라디칼들을 생성하기 위해 라디칼 반응기 내의 두 개의 전극들을 가로질러 전압을 인가함으로써, 화합물 기체의 플라즈마가 생성된다. 라디칼들은 미리 원료 전구체가 주입된 기판의 표면상에 주입된다. 라디칼들은 반응 전구체로서 기능하고 기판상에 물질 층을 증착한다.

Description

기체 혼합물의 라디칼들을 이용한 원자층 증착{ATOMIC LAYER DEPOSITION USING RADICALS OF GAS MIXTURE}
본 발명은 원자층 증착(Atomic Layer Deposition, ALD)을 이용하여 기판상에 하나 이상의 물질 층들을 증착하는 것과 관련된다.
원자층 증착(ALD)은 기판상에 하나 이상의 물질 층들을 증착하기 위한 박막 증착 기술이다. ALD는 두 가지 유형의 화학 물질을 사용하며, 하나는 원료 전구체(source precursor)이고 다른 하나는 반응 전구체(reactant precursor)이다. 일반적으로, ALD는 네 단계들을 포함한다: (ⅰ) 원료 전구체의 주입, (ⅱ) 원료 전구체의 물리적 흡착 층의 제거, (ⅲ) 반응 전구체의 주입, 및 (ⅳ) 반응 전구체의 물리적 흡착 층의 제거. ALD는 원하는 두께의 층이 얻어지기 전에 많은 시간 또는 많은 반복이 걸릴 수 있는 느린 공정일 수 있다. 이런 이유로, 공정을 빠르게 하기 위해, 유닛 모듈(unit module)을 구비한 기상 증착 반응기(흔히 선형 주입기라 불리는), 미국 특허출원 공개공보 제 2009/0165715호에서 설명된 것과 같은, 또는 다른 유사한 장치들이 ALD 공정을 빠르게 하는 데 사용될 수 있다. 유닛 모듈은 원료 물질을 위한 주입 유닛과 배기 유닛(원료 모듈) 및 반응 물질을 위한 주입 유닛과 배기 유닛(반응 모듈)을 포함한다.
종래의 ALD 기상 증착 챔버(chamber)는 기판상에 ALD 층들을 증착하기 위한 하나 이상의 반응기 세트들을 갖는다. 기판이 반응기들 아래로 통과할 때, 기판은 원료 전구체, 퍼지 기체(purge gas) 및 반응 전구체에 노출된다. 기판상에 증착된 원료 전구체 분자들은 기판상에 물질 층을 증착하기 위해 반응 전구체 분자들과 반응하거나, 원료 전구체 분자들은 반응 전구체 분자들로 대체된다. 원료 전구체 또는 반응 전구체에 기판을 노출시킨 후에, 기판은 퍼지 기체에 노출되어 과잉 원료 전구체 분자들 또는 반응 전구체 분자들을 기판으로부터 제거할 수 있다.
원하는 두께의 물질을 증착하는데 요구되는 반복의 횟수를 감소시키기 위해, 각 ALD 사이클당 증착률(deposition rate)을 증가시키는 것이 유리하다.
실시 예들은 제 1 기체 및 제 2 기체를 포함하는 기체 혼합물의 라디칼들을 생성하고 그 다음 라디칼들을 기판상에 주입함으로써 기판상에 물질 층을 증착하는 것과 관련된다. 제 1 기체는 질소 화합물을 포함한다. 기판에는 미리 원료 전구체가 주입된다. 라디칼들은 기판상에서 원료 전구체 분자들과 반응하거나 원료 전구체 분자들을 대체하고 기판상에 물질 층을 증착하는 반응 전구체로서 기능한다.
일 실시 예에서, 제 2 기체는 또 다른 질소 화합물을 포함한다. 제 1 기체는 NH3를 포함할 수 있고 제 2 기체는 N2O를 포함할 수 있다.
일 실시 예에서, 증착된 물질은 알루미늄 산화질화물(aluminium oxynitride, AlON)을 포함한다.
일 실시 예에서, N2O 및 NH3의 비는 70:30에서 90:10 사이이다. 더욱 바람직하게는, N2O 및 NH3의 비는 80:20 이다.
일 실시 예에서, 기체 혼합물은 라디칼 반응기 내에서 플라즈마 챔버(plasma chamber) 안으로 주입된다. 전압은 플라즈마 챔버 내의 제 1 전극과 플라즈마 챔버를 둘러싼 제 2 전극 사이에 인가되어 플라즈마 챔버 내에 플라즈마를 생성한다. 플라즈마는 기체 혼합물의 라디칼들을 생성한다.
일 실시 예에서, 플라즈마 챔버부터 기판까지 거리는 80mm보다 짧다.
일 실시 예에서, 원료 전구체는 테트라에틸메틸아미노지르코늄(Tetraethylmethylaminozirconium, TEMAZr), 트리메틸실란(Trimethylsilane, TMS), 트리디메틸아미네실리콘(Tridimethylaminesilicone, 3DMAS) 및 테트라에틸메틸알루미늄티타늄(Tetraethylmethylaluminiumtitannium, TEMATi)으로 구성된 그룹으로부터 선택된다.
일 실시 예에서, 제 1 기체는 NH3를 포함하고 제 2 기체는 CH4를 포함한다.
실시 예들은 또한 원자층 증착(ALD) 공정에서 반응 전구체로서 기능하는 라디칼들을 생성하기 위한 몸체 및 전극을 포함하는 라디칼 반응기와 관련된다. 몸체에는 기체를 공급하기 위한 채널(channel), 채널과 연결되어 공급된 기체를 받고 공급된 기체의 라디칼들을 생성하는 플라즈마 챔버, 플라즈마 챔버와 연결되어 생성된 라디칼들을 받고 기판 위로 위치되어 기판을 라디칼들에 노출시키는 반응 챔버, 및 과잉 기체 및/또는 라디칼들을 방출하는 하나 이상의 배기부들이 형성된다. 전극은 몸체 내에서 연장된다. 전압은 전극과 몸체를 가로질러 인가되어 플라즈마 챔버 내에서 플라즈마를 생성한다.
도 1은 일 실시 예에 따른, 선형 증착 장치의 단면도이다.
도 2는 일 실시 예에 따른, 선형 증착 장치의 사시도이다.
도 3은 일 실시 예에 따른, 회전 증착 장치의 사시도이다.
도 4는 일 실시 예에 따른, 증착 장치 내의 반응기들의 사시도이다.
도 5a는 일 실시 예에 따른, 도 4의 선 A-B를 따라 취해진 반응기를 도시하는 단면도이다.
도 5b는 플라즈마 챔버로부터 기판의 거리에 대해서, 증착된 층 내의 질소 농도를 도시하는 그래프이다.
도 6은 또 다른 실시 예에 따른, 라디칼 반응기를 도시하는 단면도이다.
도 7은 일 실시 예에 따른, 기체 혼합물을 포함하는 질소의 라디칼들을 이용하여 원자층 증착을 수행하는 공정을 도시하는 순서도이다.
실시 예들은 여기서 첨부된 도면들을 참조하여 설명된다. 그러나, 여기서 개시된 원칙들은 많은 다른 형태로 구현될 수 있고, 여기서 기술된 실시 예에 한정되는 것으로 이해되지 않아야 한다. 본 명세서에서, 실시 예의 특징들을 필요이상으로 모호하게 하는 것을 피하기 위해 잘 알려진 특징들 및 기술들에 대한 상세한 설명은 생략될 수 있다.
도면들에서, 도면들에 있는 유사한 참조 번호들은 유사한 구성 요소를 나타낸다. 도면의 모양, 크기 및 영역, 그리고 유사한 것들은 명확성을 위해 과장될 수 있다.
실시 예들은 기판상에 물질 층을 증착하기 위한 반응 전구체로서 기능하는 라디칼들을 생성하기 위해 기체 혼합물을 이용하여 원자층 증착(ALD)을 수행하는 것과 관련된다. 기체 혼합물은 NH3 또는 N2O와 같은 화합물을 포함하는 질소를 포함한다. 기판에는 미리 원료 전구체 물질이 주입된다. 이런 이유로, 기판에 반응 전구체가 주입될 때, 물질 층이 기판상에 증착된다. 라디칼들을 생성하기 위해 단일 기체 대신에 기체 혼합물을 사용함으로써, 물질의 증착률이 증가할 수 있다.
도 1은 일 실시 예에 따른, 선형 증착 장치(100)의 단면도이다. 도 2는 일 실시 예에 따른 선형 증착 장치(100)(설명을 용이하게 하기 위해 챔버 벽을 없앤)의 사시도이다. 선형 증착 장치(100)는 다른 요소들 중에서 지지 기둥(118), 공정 챔버(110) 및 하나 이상의 반응기들(136)을 포함할 수 있다. 반응기들(136)은 하나 이상의 주입기들 및 라디칼 반응기들을 포함할 수 있다. 주입기들 각각은 원료 전구체(source precursor), 반응 전구체(reactant precursor), 퍼지(purge) 기체 또는 이러한 물질들의 조합을 기판(120)에 주입한다. 도 5를 참조하여 아래에서 상세히 설명되는 바와 같이, 원료 전구체들 및/또는 반응 전구체들은 기체 혼합물의 라디칼들일 수 있다.
벽들에 의해 둘러싸인 공정 챔버는 오염물질이 증착 공정에 영향을 주는 것을 방지하기 위해 진공 상태로 유지될 수 있다. 공정 챔버(110)는 기판(120)을 받는 서셉터(128)를 포함한다. 서셉터(128)는 미끄러짐 운동을 위한 지지판(124) 위에 위치할 수 있다. 지지판(124)는 기판(120)의 온도를 제어하기 위한 온도 제어기(예를 들어, 히터 또는 냉각기)를 포함할 수 있다.
선형 증착 장치(100)는 또한 서셉터(128) 위로 기판(120)을 적재하거나 서셉터(128)에서 기판(120)을 내리는 것을 용이하게 하는 리프트 핀(lift pin)들(미도시)을 포함할 수 있다.
일 실시 예에서, 서셉터(128)는 나사들(screw)이 형성된 연장 바(138)를 가로질러 움직이는 브래킷(210)에 고정된다. 받침대(210)는 확장 바(138)를 수납하는 구멍들 안에 형성된 대응하는 나사들을 갖는다. 확장 바(138)는 모터(114)의 스핀들에 고정되고, 따라서 전동기(114)의 축이 회전할 때 확장 바(138)는 회전한다. 확장 바(138)의 회전은 받침대(210)(그리고, 그에 따른 서셉터(128))가 지지판(124) 위에서 선형 운동하도록 한다. 전동기(114)의 속도와 회전 방향을 제어하는 것에 의해, 서셉터(128)의 선형 운동의 속도 및 방향이 제어될 수 있다. 전동기(114) 및 확장 바(138)의 사용은 단순히 서셉터(128)를 움직이는 방법의 일 예이다. 서셉터(128)를 움직이는 다양한 다른 방법들(예를 들어, 서셉터(128)의 바닥, 꼭대기 또는 측면에 기어(gear)와 피니언(pinion)을 사용하는 것)이 있을 수 있다. 더욱이, 서셉터(128)의 이동을 대신하여 서셉터(128)는 정지 상태를 유지하고 반응기들(136)이 움직일 수 있다.
도 3는 일 실시 예에 따른 회전 증착 장치(300)의 사시도이다. 도 1의 선형 증착 장치(100)의 사용을 대신하여, 또 다른 실시 예에 따라 증착 공정을 수행하기 위해 회전 증착 장치(300)가 사용될 수 있다. 회전 증착 장치(300)는 다른 요소들 중 반응기들(320a, 320b, 334a, 334b, 364a, 364b, 368a, 368b), 서셉터(318) 및 이러한 요소들을 둘러싸는 컨테이너(324)를 포함할 수 있다. 앞서 도 1을 참조하여 설명된 바와 같이, 회전 증착 장치(300)의 반응기 집합(예를 들면, 320a 및 320b)은 선형 증착 장치(100)의 반응기들(136)에 해당한다. 서셉터(318)는 제자리에 기판(314)을 고정한다. 반응기들(320a, 320b, 334a, 334b, 364a, 364b, 368a, 368b)은 기판(314) 및 서셉터(318)위에 위치된다. 서셉터(318) 또는 반응기들(320, 334, 364, 368)은 기판이 다른 공정들을 겪도록 회전한다.
하나 이상의 반응기들(320a, 320b, 334a, 334b 364a, 364b, 368a, 368b)은 기체 파이프(미도시)에 연결되어 원료 전구체, 반응 전구체, 퍼지 기체 또는 다른 물질들을 제공한다. 기체 파이프에 의해 공급되는 물질들은 (ⅰ) 반응기들(320a, 320b, 334a, 334b 364a, 364b, 368a, 368b) 내부의 챔버에서 혼합된 후 또는 (ⅱ) 반응기들(320a, 320b, 334a, 334b 364a, 364b, 368a, 368b) 내부에서 생성된 플라즈마에 의해 라디칼들로 변환된 후에 (ⅲ) 반응기들(320a, 320b, 334a, 334b 364a, 364b, 368a, 368b)에 의해 직접적으로 기판(314)에 주입될 수 있다. 물질들이 기판(314)에 주입된 후에, 여분의 재료들은 배출구들(330, 338)를 통해 배기될 수 있다. 회전 증착 장치(300)의 내부는 또한 진공 상태로 유지될 수 있다.
비록, 이후의 예시적인 실시 예들이 주로 선형 증착 장치(100) 내의 반응기들을 참조하여 설명되었지만, 동일한 원리 및 동작이 회전 증착 장치(300) 또는 다른 유형의 증착 장치에 적용될 수 있다.
도 4는 일 실시 예에 따른, 도 1의 증착 장치(100) 내의 반응기들(136a 내지 136d)(총괄하여 “반응기들(136)”로 언급된)의 사시도이다. 도 4에서, 반응기들(136a 및 136b)은 서로 인접하여 나란히 위치된다. 다른 실시 예에서, 반응기들(136a, 136b)은 서로로부터 거리를 두어 위치될 수 있다. 기판(120)이 왼쪽으로부터 오른쪽으로 움직일 때(화살표(450)로써 도시된 바와 같이), 기판(120)상에 증착 층(410)을 형성하기 위한 반응기들(136a 및 136b)에 의해 기판(120)에는 순차적으로 물질들이 주입된다. 기판(120)의 움직임을 대신하여, 원료 전구체 물질들 또는 반응 전구체 물질들을 주입하는 동안 반응기들(136a, 136b)이 오른쪽에서 왼쪽으로 움직일 수 있다.
하나 이상의 실시 예들에서, 반응기(136a)는 기판(120)상에 원료 전구체 물질들을 주입하는 기체 주입기이다. 반응기(136a)는 파이프(미도시)에 연결되어 소스(예를 들어, 보관통)로부터 원료 전구체를 받는다. 원료 전구체는 기판(120)에 주입되어, 기판(120)상에 하나 이상의 원료 전구체 분자 층들을 형성한다. 과잉 원료 전구체 분자들은 배기 파이프들(422a, 422b)을 통해 배기된다.
반응기(136b)는 하나 이상의 소스들(예를 들어, 보관통들)로부터 받은 기체 또는 기체 혼합물의 라디칼들을 생성하는 라디칼 반응기일 수 있다. 기체 또는 기체 혼합물의 라디칼들은 원료 전구체와 함께 기판(120)상에 물질들의 원자층을 형성하는 반응 전구체로서 기능할 수 있다. 기체 또는 기체 혼합물들은 파이프(미도시)를 통해 반응기(136b) 내로 주입되고, 전극들을 가로질러 전압을 인가함으로써 반응기(136b) 내에서 라디칼들로 변환된다. 라디칼들은 기판(120)상에 주입되고, 비활성 상태로 복귀된 남아있는 라디칼들 및/또는 기체들은 배기 파이프들(438a, 438b)을 통해 반응기(136b)로부터 방출된다.
도 5a는 일 실시 예에 따른, 도 4의 선 A-B를 따라 취해진 반응기들(136a, 136b)을 도시한 단면도이다. 주입기(136a)는 기체 채널(516), 천공들(perforations, 슬릿들 또는 홀들, 520), 반응 챔버(514), 협착 영역들(518a, 518b) 및 배기부들(510a, 510b)이 형성된 몸체(500)를 포함한다. 원료 전구체는 기체 채널(516) 및 천공들(520)을 통해 반응 챔버(514) 내로 주입된다. 반응 챔버(514) 아래의 기판(120)의 영역은 원료 전구체와 접촉하고 그것의 표면상에 원료 전구체 분자들을 흡수한다. 과잉 원료 전구체(즉, 원료 전구체가 기판(120)상에 증착된 후에 남아있는 원료 전구체)는 협착 영역들(518a, 518b)을 통과하고 배기부들(510a, 510b)을 통해 방출된다. 배기부들(510a, 510b)은 배기 파이프들(422a, 422b)과 연결된다.
원료 전구체 분자들이 협착 영역들(518a, 518b)을 통과하는 동안, 물리흡착된(physisorbed) 원료 전구체 분자들은 원료 전구체 분자들의 더욱 높은 유속에 기인하여 이러한 영역들(518a, 518b) 아래에서 기판(120)의 영역으로부터 적어도 부분적으로는 제거된다.
하나 이상의 실시 예에서, 주입기(136a)는 또한 기판(120)상에 퍼지 기체를 주입하여 물리흡착된 원료 전구체 분자들을 기판(120)으로부터 제거하여, 기판(120)상에 단지 화학흡착된(chemisorbed) 원료 전구체 분자들만 남도록 할 수 있다. 이렇게 하여, 고품질 원자층을 생산하는 ALD 공정이 얻어질 수 있다.
라디칼 반응기(136b)는 라디칼 반응기가 플라즈마 생성기를 더 포함하는 것을 제외하면, 주입기(136a)와 유사한 구조를 갖는다. 플라즈마 생성기는 내부 전극(576) 및 플라즈마 챔버(578)를 둘러싸는 외부 전극(572)을 갖는다(외부 전극(572)은 금속성 몸체(550)의 일부일 수 있다). 몸체(550)에는, 다른 것들 중, 기체 채널(564), 천공들(슬릿들 또는 홀들, 568), 플라즈마 챔버(578), 주입기 슬릿(580), 반응 챔버(562) 및 배기부들(560a, 560b)이 형성된다. 기체 또는 기체 혼합물은 채널(564) 및 천공들(568)을 통해 플라즈마 챔버(578) 안으로 주입된다. 내부 전극(576) 및 외부 전극(572) 사이에 전압 차를 인가함으로써, 플라즈마가 플라즈마 챔버(578) 내에서 생성된다. 플라즈마의 결과로, 기체 또는 기체 혼합물의 라디칼들은 플라즈마 챔버(578) 내에서 생성된다. 생성된 라디칼들은 주입기 슬릿(580)을 통해 반응 챔버(562) 안으로 주입된다. 반응 챔버(562) 아래의 기판(120)의 영역은 라디칼들과 접촉하여, 기판(120)상에 증착된 층(410)을 형성한다.
플라즈마 챔버(578)와 기판(120) 사이의 거리(H)는 충분한 양의 라디칼들이 활성 상태로 기판(120)에 도달하도록 구성된다. 라디칼들은 미리 결정된 수명을 갖는다. 이런 이유로, 라디칼들이 주입기 슬릿(580) 및 반응 챔버(562)를 통해 기판(120)까지 이동할 때, 라디칼들 중 일부는 비활성 기체 상태로 복귀한다. 이동 거리가 증가하면, 비활성 기체 상태로 복귀하는 라디칼들의 양이 증가한다. 이런 이유로, 거리(H)를 특정 길이보다 짧도록 설정하는 것이 유리하다. 예를 들어, 거리(H)는 10에서 100mm 사이로 설정된다.
기체 혼합물(예를 들어, N2O 및 NH3 혼합물)을 포함하는 질소의 라디칼들을 사용할 때, 라디칼들의 수명은 상대적으로 짧고 대부분의 라디칼들은 거리(H)가 80mm 이상이면 비활성 상태로 복귀한다. 이런 이유로, 거리(H)는 기체 혼합물을 포함하는 질소의 라디칼들을 사용할 때, 80mm 이하로 설정되어야 한다.
도 5b는 플라즈마 챔버(578)로부터의 기판(120)의 거리(H)에 대해서, 증착된 층 내의 질소의 농도를 도시하는 그래프이다. 도 5b의 실험에서, 기판(120)에는 트리메틸알루미늄(Trimethylaluminium, TMA)이 주입되고 그 다음 50%의 N2O와 50%의 NH3를 포함하는 기체 혼합물의 라디칼들이 주입되며, 그럼으로써 기판(120)상에 원자층(AlON)을 증착한다. 더 많은 질소 라디칼들(N*)이 활성 상태로 남을수록, 더 많은 질소 원자들이 증착된 AlON 층 안으로 포함된다. 이런 이유로, 증착된 층 내의 질소의 함유량은 활성 상태로 기판(120)의 표면에 도달하는 활성 라디칼들의 양에 대한 함수이다.
거리(H)가 증가하면, 증착된 층 내의 질소의 함유량은 감소된다. 질소 함유량의 감소는 질소 라디칼들(N*)이 기판(120)에 효과적으로 도달하지 않는 것을 나타낸다. 도 5b를 참조하면, 증착된 층 내의 질소의 함유량은 만일 거리(H)가 800mm 이상까지 증가하는 경우 크게 떨어진다. 그러므로, 질소 라디칼들(N*)이 반응 전구체로서 사용될 때, 거리(H)를 80mm 이하로 유지하는 것이 유리하다.
도 6b에 도시된 실험을 위해, 기판(120)의 온도는 80℃로 유지되었고, TMA 기체 보관통의 온도는 5℃로 유지되었다. 플라즈마는 200와트(watt) 120kHz의 DC 펄스를 인가함으로써 플라즈마 챔버(578) 내에서 생성되었다. AlON 막의 결과적인 증착률은 1.70Å/사이클 이었다.
도 6은 또 다른 실시 예에 따른, 라디칼 반응기(600)를 설명하는 단면도이다. 라디칼 반응기(600)은 몸체(610) 및 라디칼 반응기(600)를 가로질러 연장된 내부 전극(614)를 포함한다. 내부 전극은 평면 표면이 기판(120)을 마주하고 원형 표면이 기판(120)과 마주하지 않는 반-원형 단면을 갖는다.
기체 또는 기체 혼합물은 라디칼 반응기의 몸체(610)에 형성된 채널(618) 및 천공들(622)를 통해 라디칼 반응기(600) 안으로 주입된다. 몸체(610)의 부분(624)은 외부 전극으로서 기능한다. 내부 전극(614)과 외부 전극(624) 사이에 전압을 인가함으로써, 라디칼들이 플라즈마 영역(628)에서 생성될 수 있다. 라디칼들은 협착 영역들(624a, 624b)을 통해 배기부(626a, 626b)로 흐른다. 라디칼 반응기(600) 내에서 원자층을 증착하는 원리 및 운용은 실질적으로 라디칼 반응기(136b)와 동일하므로, 여기서는 간결성을 위해 생략된다. 다양한 다른 구성의 라디칼 반응기들이 또한 사용될 수 있다.
질소 라디칼들을 생성할 때, 단일 기체를 사용하는 대신 기체 혼합물을 사용하는 것이 유리하다. 예를 들어, 실험들에 기초하면, N2O 기체와 NH3 기체의 혼합물로부터 생성된 라디칼들은 단지 N2O 기체 또는 NH3 기체 하나만을 사용하는 것과 비교하여 더 높은 증착률을 이끌어낸다. 그러나, 입자들이 기체들의 특정한 혼합비(mixture ratio)에서 형성된다. 이런 이유로, 표 1을 참조하여 아래에서 상세히 설명되는 바와 같이, 라디칼 반응기(136b) 내에서 입자들의 형성을 비하는 동시에 더 높은 증착률을 이끌어내는 혼합비의 범위를 확인하기 위해 실험들이 수행되었다.
다음의 실험들에서, TMA가 기판상에 먼저 주입되고, 그 다음 기체(들)의 라디칼들이 주입되어 AlN, Al2O3 또는 AlON 막을 형성하였다. 기판의 온도는 80℃로 유지되었고 TMA 기체의 온도는 5℃로 유지되었다. 반응 전구체로서 사용되는 라디칼들을 생성하기 위해서, NH3, N2O 또는 그것들의 혼합물이 사용되었다. 플라즈마를 생성하기 위해, 200와트 120kHz의 전압 신호가 내부 전극(576)과 외부 전극(572) 사이에 인가되었다. 기판과 플라즈마 챔버 사이의 거리(H, 도 5 참조)는 17mm 였다. 라디칼 반응기의 길이는 260mm 였다. 기판을 고정하는 서셉터(susceptor)의 직경은 80cm 였고, 서셉터의 회전 속도는 5rpm 이었다.
AlN, Al2O3 또는 AlON 층의 측정된 증착률 및 이러한 층들의 특성은 표 1에서 도표화되었다. NH3 및 N2O가 x(NH3 기체에 대해, 여기서 x는 0부터 1사이의 값을 갖는다)에서 (1-x)(N2O 기체에 대해)의 비율로 라디칼 반응기 안에 주입될 때, 층은 Al2O3(x=1일 때), 결과적으로 생성된 층은 AlN(x=0일 때) 또는 (x)Al2O3+(1-x)AlN 이었다.
Figure 112013083459940-pct00001
표 1에서 보여지는 바와 같이, 증착률은 N2O 기체와 NH3 기체의 비가 80:20일 때 가장 크다(1.96Å/사이클). 이 비에서, 라디칼 반응기 내에 어떠한 입자도 생성되지 않는다. 이 비를 넘어 NH3가 증가되거나 감소될 때, 증착률은 감소하였다. 게다가, NH3의 비가 40%까지 증가할 때, 라디칼 반응기 내에 입자들이 형성되었다. 특히, N2O와 NH3의 비가 40:60에서 60:40의 범위에 있을 때, 라디칼 반응기 내에 입자들이 검출되었다. NH3의 비가 70% 이상까지 증가할 때, 입자들은 검출되지 않았다. 표 1은 증착률을 향상시키기 위해서 단지 N2O 또는 NH3 하나만을 사용한 대신 기체 혼합물을 포함하는 질소의 라디칼들을 사용하는 것이 유리함을 보여준다.
또 다른 실시 예에서, 기판상에 ZrO(1-x)Nx 층을 생성하기 위해서 테트라에틸메틸아미노지르코늄(Tetramethylethylaminozirconium, TEMAZr)이 원료 전구체로서 사용될 수 있고 (1-x)N2O와 (x)NH3의 유사 혼합물(여기서, x는 0 초과 0.5 미만이다)이 반응 전구체로서 라디칼들을 생성하는 데 사용될 수 있다.
나아가 또 다른 실시 예에서, SiOxN(1-x) 층을 얻기 위해, 트리메틸실란(Trimethylsilane, TMS, (CH3)3SiH) 또는 트리디메틸아미네실리콘(Tridimethylaminesilicone, 3DMAS)이 원료 전구체로서 사용될 수 있고, (1-x)NH3와 (x)N2O의 기체가 반응 전구체로서 작용하는 라디칼들을 생성하는데 사용될 수 있다.
라디칼들을 생성하기 위한 기체 혼합물은 기체들을 포함하는 질소에 한정되지 않는다. 예를 들어, NH3는 CH4와 혼합되어 N* 및 C* 라디칼들을 생성할 수 있다. 일 실시 예에서, 기판상에 TiC(1-x)Nx 층을 형성하기 위해 테트라에틸메틸알루미늄티타늄(Tetraethylmethylaluiminumtitanium, TEMATi)이 원료 전구체로서 사용되고, (1-x)NH3와 (x)CH4(여기서, x는 0 초과 50 미만이다)로부터 생성된 라디칼들이 반응 전구체로서 사용된다.
나아가 또 다른 실시 예에서, TiCl4가 원료 전구체로서 사용되고 N2 또는 NH3 기체로부터 생성된 라디칼들이 반응 전구체로서 사용되어 기판상에 TiN 막의 원자층을 생성한다.
위 실시 예들에서, 라디칼들의 대부분이 비활성 상태로 복귀함이 없이 기판에 도달할 수 있도록 기판으로부터 플라즈마 챔버까지의 거리(H)는 80mm 미만으로 설정될 수 있다.
도 7은 일 실시 예에 따른, 기체 혼합물을 포함하는 질소의 라디칼들을 사용하여 원자층 증착을 수행하는 공정을 도시하는 순서도이다. 먼저, 원료 전구체가 주입기(136a)에 의해 기판의 영역상에 주입된다(710). 그 다음, 기판(120)의 영역을 라디칼 반응기(720) 아래에 위치하기 위해 기판(120)과 주입기 조립체(136) 사이에서 상대적 운동이 야기된다(720).
라디칼 반응기(136b)에는 기체 혼합물이 주입된다. 기체 혼합물은 제 1 기체 및 제 2 기체를 포함할 수 있다. 제 1 기체는 NH3이고, 제 2 기체는 N2O 일 수 있다. 두 개의 상이한 기체들의 혼합물을 사용함으로써, ALD 공정의 증착률이 증가될 수 있다. 이 예시에서, 증착된 층은 AlON이다.
비록 본 발명이 몇 가지 실시 예들에 대하여 위에서 설명되었지만, 다양한 변경들이 본 발명의 범위 내에서 행해질 수 있다. 본 발명의 개시된 내용은 발명의 범위를 한정하기 위한 것이 아니라, 예시적인 것으로 의도되며, 본 발명의 범위는 이후의 청구항들에서 제시된다.

Claims (20)

  1. 기판상에 원료 전구체를 주입하는 단계;
    NH3 기체 및 N2O 기체의 혼합물을 라디칼 반응기에 주입하는 단계로서, 상기 혼합물에서 N2O 및 NH3의 비는 70:30 내지 90:10인, 상기 라디칼 반응기에 주입하는 단계;
    상기 라디칼 반응기 내에 상기 혼합물의 라디칼들을 생성하는 단계; 및
    상기 기판상에 상기 생성된 라디칼들을 주입하는 단계로서, 상기 생성된 라디칼들은 상기 기판상에 물질 층을 증착하기 위해 원료 전구체 분자들과 반응하거나 상기 원료 전구체 분자들을 대체하는, 상기 생성된 라디칼들을 주입하는 단계를 포함하는 것을 특징으로 하는, 기판상에 물질 층을 증착하는 방법.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    상기 증착된 물질 층은 알루미늄 산화질화물(AlON)을 포함하는 것을 특징으로 하는, 기판상에 물질 층을 증착하는 방법.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 N2O 및 NH3의 비는 80:20인 것을 특징으로 하는, 기판상에 물질 층을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 라디칼들을 생성하는 단계는,
    상기 라디칼 반응기 내의 플라즈마 챔버 내로 상기 혼합물을 주입하는 단계; 및
    상기 플라즈마 챔버 내의 제 1 전극과 상기 플라즈마 챔버를 둘러싸는 제 2 전극을 가로질러 전압을 인가하는 단계를 포함하는 것을 특징으로 하는, 기판상에 물질 층을 증착하는 방법.
  8. 제 7 항에 있어서,
    상기 플라즈마 챔버로부터 상기 기판까지의 거리는 80mm 미만인 것을 특징으로 하는, 기판상에 물질 층을 증착하는 방법.
  9. 제 1 항에 있어서,
    상기 원료 전구체는 테트라에틸메틸아미노지르코늄(TEMAZr), 트리메틸실란(TMS), 트리디메틸아미네실리콘(3DMAS) 및 테트라에틸메틸알루미늄티타늄(TEMATi)으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는, 기판상에 물질 층을 증착하는 방법.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020137024157A 2011-02-16 2012-02-14 기체 혼합물의 라디칼들을 이용한 원자층 증착 KR101608368B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161443556P 2011-02-16 2011-02-16
US61/443,556 2011-02-16
US13/369,717 2012-02-09
US13/369,717 US8877300B2 (en) 2011-02-16 2012-02-09 Atomic layer deposition using radicals of gas mixture
PCT/US2012/025095 WO2012112584A2 (en) 2011-02-16 2012-02-14 Atomic layer deposition using radicals of gas mixture

Publications (2)

Publication Number Publication Date
KR20130135917A KR20130135917A (ko) 2013-12-11
KR101608368B1 true KR101608368B1 (ko) 2016-04-01

Family

ID=46637101

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137024157A KR101608368B1 (ko) 2011-02-16 2012-02-14 기체 혼합물의 라디칼들을 이용한 원자층 증착

Country Status (4)

Country Link
US (2) US8877300B2 (ko)
KR (1) KR101608368B1 (ko)
TW (1) TWI512134B (ko)
WO (1) WO2012112584A2 (ko)

Families Citing this family (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8574728B2 (en) 2011-03-15 2013-11-05 Kennametal Inc. Aluminum oxynitride coated article and method of making the same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101397162B1 (ko) * 2012-08-23 2014-05-19 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR101929473B1 (ko) * 2012-09-10 2019-03-12 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US9138864B2 (en) 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US9017809B2 (en) 2013-01-25 2015-04-28 Kennametal Inc. Coatings for cutting tools
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140319488A1 (en) * 2013-04-25 2014-10-30 Veeco Ald Inc. Thin film formation for device sensitive to environment
US9427808B2 (en) 2013-08-30 2016-08-30 Kennametal Inc. Refractory coatings for cutting tools
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9837281B2 (en) 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US9799509B2 (en) * 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI723997B (zh) 2015-06-19 2021-04-11 美商應用材料股份有限公司 用於批次處理之注射器及使用方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102420015B1 (ko) 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10571430B2 (en) 2016-03-14 2020-02-25 Veeco Instruments Inc. Gas concentration sensors and systems
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10513986B2 (en) * 2016-10-05 2019-12-24 Rolls-Royce North American Technologies, Inc. Counter-rotating electric generator in turbine engine
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN107400878A (zh) * 2017-07-26 2017-11-28 北京芯微诺达科技有限公司 一种原子层沉积设备的进气系统及其方法
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3896244A (en) 1971-11-17 1975-07-22 Chromalloy American Corp Method of producing plasma sprayed titanium carbide tool steel coatings
JPS61168922A (ja) 1985-01-17 1986-07-30 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション プラズマ・エツチング装置
JPS6281018A (ja) 1985-10-04 1987-04-14 Hitachi Ltd 常圧cvd装置
JPS62274080A (ja) 1986-05-21 1987-11-28 Hitachi Ltd プラズマ処理方法
US4891247A (en) 1986-09-15 1990-01-02 Watkins-Johnson Company Process for borosilicate glass films for multilevel metallization structures in semiconductor devices
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
GB8713986D0 (en) 1987-06-16 1987-07-22 Shell Int Research Apparatus for plasma surface treating
JPH0196924A (ja) 1987-10-09 1989-04-14 Matsushita Electric Ind Co Ltd 熱cvd法による製膜方法
JPH01161835A (ja) 1987-12-18 1989-06-26 Ramuko Kk プラズマ処理方法及びその装置
JPH01223724A (ja) 1988-03-02 1989-09-06 Mitsubishi Electric Corp 化学気相成長装置
JPH02187018A (ja) 1989-01-13 1990-07-23 Mitsubishi Electric Corp 化学気相成長装置
JPH0492414A (ja) 1990-08-08 1992-03-25 Mitsubishi Electric Corp 薄膜形成装置
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
FR2672518B1 (fr) 1991-02-13 1995-05-05 Saint Gobain Vitrage Int Buse a alimentation dissymetrique pour la formation d'une couche de revetement sur un ruban de verre, par pyrolyse d'un melange gazeux.
US5565249A (en) 1992-05-07 1996-10-15 Fujitsu Limited Method for producing diamond by a DC plasma jet
KR960000190B1 (ko) 1992-11-09 1996-01-03 엘지전자주식회사 반도체 제조방법 및 그 장치
US5863337A (en) 1993-02-16 1999-01-26 Ppg Industries, Inc. Apparatus for coating a moving glass substrate
JP3107971B2 (ja) 1994-05-17 2000-11-13 株式会社半導体エネルギー研究所 気相反応装置
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FR2736632B1 (fr) 1995-07-12 1997-10-24 Saint Gobain Vitrage Vitrage muni d'une couche conductrice et/ou bas-emissive
JP3598602B2 (ja) 1995-08-07 2004-12-08 セイコーエプソン株式会社 プラズマエッチング方法、液晶表示パネルの製造方法、及びプラズマエッチング装置
JP3295310B2 (ja) 1995-08-08 2002-06-24 三洋電機株式会社 回転電極を用いた高速成膜方法及びその装置
JPH0964000A (ja) 1995-08-18 1997-03-07 Matsushita Electric Ind Co Ltd ドライ洗浄装置
JP3753194B2 (ja) 1995-12-14 2006-03-08 セイコーエプソン株式会社 プラズマ処理方法及びその装置
JPH09199738A (ja) 1996-01-19 1997-07-31 Hitachi Ltd 太陽電池
JP3901252B2 (ja) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5951771A (en) 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6099974A (en) 1997-07-16 2000-08-08 Thermal Spray Technologies, Inc. Coating that enables soldering to non-solderable surfaces
JP3649873B2 (ja) 1997-09-22 2005-05-18 三洋電機株式会社 Cvd法による薄膜形成方法および薄膜ならびに摺動部品
US6026589A (en) 1998-02-02 2000-02-22 Silicon Valley Group, Thermal Systems Llc Wafer carrier and semiconductor apparatus for processing a semiconductor substrate
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
JP4644324B2 (ja) 1998-09-07 2011-03-02 ズルツァー マーケッツ アンド テクノロジー アクチェンゲゼルシャフト 断熱被覆の製造のための高温噴霧方法の使用
US6406590B1 (en) 1998-09-08 2002-06-18 Sharp Kaubushiki Kaisha Method and apparatus for surface treatment using plasma
DE69929271T2 (de) 1998-10-26 2006-09-21 Matsushita Electric Works, Ltd., Kadoma Apparat und Verfahren zur Plasmabehandlung
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US20020092616A1 (en) 1999-06-23 2002-07-18 Seong I. Kim Apparatus for plasma treatment using capillary electrode discharge plasma shower
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP3476409B2 (ja) 2000-02-25 2003-12-10 Necエレクトロニクス株式会社 プラズマcvd装置
WO2001076328A2 (en) 2000-03-31 2001-10-11 Thermal Dynamics Corporation Plasma arc torch and method for longer life of plasma arc torch consumable parts
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
JP2001357780A (ja) 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマディスプレイパネル製造方法および製造装置
KR100673211B1 (ko) 2000-06-30 2007-01-22 주식회사 하이닉스반도체 샤워헤드
JP2002018276A (ja) 2000-07-10 2002-01-22 Pearl Kogyo Kk 大気圧プラズマ処理装置
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR20020078804A (ko) 2001-04-10 2002-10-19 주식회사 싸일렌테크놀로지 상압 플라즈마 발생기
KR20020083564A (ko) 2001-04-27 2002-11-04 주식회사 엘지이아이 다중 플라즈마 발생장치
US6397776B1 (en) 2001-06-11 2002-06-04 General Electric Company Apparatus for large area chemical vapor deposition using multiple expanding thermal plasma generators
JP2003049272A (ja) 2001-08-07 2003-02-21 Konica Corp 大気圧プラズマ処理装置、大気圧プラズマ処理方法及び大気圧プラズマ処理装置用の電極システム
JP2003073835A (ja) 2001-08-28 2003-03-12 Tdk Corp プラズマcvd装置およびプラズマcvd膜の形成方法
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
JP2003174019A (ja) 2001-12-05 2003-06-20 Sumitomo Precision Prod Co Ltd オゾン処理装置
US6926572B2 (en) 2002-01-25 2005-08-09 Electronics And Telecommunications Research Institute Flat panel display device and method of forming passivation film in the flat panel display device
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US20040247787A1 (en) 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
JP4158139B2 (ja) 2002-04-30 2008-10-01 スズキ株式会社 薄膜の製造方法およびその装置
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
JP2003332426A (ja) * 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP2004010949A (ja) 2002-06-05 2004-01-15 Seiko Epson Corp 成膜装置および成膜方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
JP2004091837A (ja) 2002-08-30 2004-03-25 Konica Minolta Holdings Inc 製膜処理装置
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
TW200534387A (en) 2002-10-07 2005-10-16 Sekisui Chemical Co Ltd Plasma film forming system
KR100908711B1 (ko) 2002-11-13 2009-07-22 삼성에스디아이 주식회사 박막형 실리콘 태양 전지
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US9725805B2 (en) 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
KR101022662B1 (ko) 2003-08-05 2011-03-22 주성엔지니어링(주) 균일한 막 증착을 위한 챔버 및 샤워 헤드
JP2005089781A (ja) 2003-09-12 2005-04-07 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
KR20050028980A (ko) 2003-09-19 2005-03-24 한국전자통신연구원 무기 박막 전계 발광 소자 및 그 제조 방법
US7032808B2 (en) 2003-10-06 2006-04-25 Outokumu Oyj Thermal spray application of brazing material for manufacture of heat transfer devices
JP4268852B2 (ja) 2003-10-09 2009-05-27 積水化学工業株式会社 プラズマ処理装置
KR101158590B1 (ko) 2003-11-17 2012-06-22 코니카 미놀타 홀딩스 가부시키가이샤 나노구조 탄소 재료의 제조 방법, 상기 제조 방법에 의해형성된 나노구조 탄소 재료 및 상기 나노구조 탄소 재료를갖는 기판
US7605328B2 (en) 2004-02-19 2009-10-20 Nanosolar, Inc. Photovoltaic thin-film cell produced from metallic blend using high-temperature printing
KR100538096B1 (ko) * 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
KR101042959B1 (ko) 2004-06-03 2011-06-20 삼성에스디아이 주식회사 태양전지 및 그 제조방법
KR100589040B1 (ko) * 2004-08-05 2006-06-14 삼성전자주식회사 막 형성방법 및 이를 이용한 반도체 장치의 커패시터제조방법
US7811669B2 (en) 2004-08-17 2010-10-12 Dai Nippon Printing Co., Ltd. Gas barrier laminated film and process for producing the same
WO2006035629A1 (ja) 2004-09-29 2006-04-06 Sekisui Chemical Co., Ltd. プラズマ処理装置
US7399668B2 (en) 2004-09-30 2008-07-15 3M Innovative Properties Company Method for making electronic devices having a dielectric layer surface treatment
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
WO2006079213A1 (en) 2005-01-28 2006-08-03 Tekna Plasma Systems Inc. Induction plasma synthesis of nanopowders
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
JP2006236697A (ja) 2005-02-23 2006-09-07 Mitsui Chemicals Inc 放電プラズマ形成用電極
KR100631972B1 (ko) 2005-02-28 2006-10-11 삼성전기주식회사 화학기상증착 공정을 이용한 초격자 반도체 구조를 제조하는 방법
US8974868B2 (en) 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
KR100760428B1 (ko) 2005-05-13 2007-09-20 오재응 기상 증착 반응기
JP4803548B2 (ja) 2005-06-08 2011-10-26 地方独立行政法人 大阪市立工業研究所 酸化物薄膜太陽電池
US8328982B1 (en) 2005-09-16 2012-12-11 Surfx Technologies Llc Low-temperature, converging, reactive gas source and method of use
US7754906B2 (en) * 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR20070076955A (ko) 2006-01-20 2007-07-25 주성엔지니어링(주) 박막 형성 방법
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7410915B2 (en) 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
DE602007014190D1 (de) 2006-03-26 2011-06-09 Lotus Applied Technology Llc Atomlagenabscheidungssystem und verfahren zur beschichtung von flexiblen substraten
JP5101029B2 (ja) 2006-03-27 2012-12-19 三菱重工業株式会社 光電変換素子製造装置および光電変換素子製造方法
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US20070234956A1 (en) 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
JP2007275971A (ja) 2006-04-11 2007-10-25 Koike Sanso Kogyo Co Ltd プラズマトーチ
US8017860B2 (en) 2006-05-15 2011-09-13 Stion Corporation Method and structure for thin film photovoltaic materials using bulk semiconductor materials
US9105776B2 (en) 2006-05-15 2015-08-11 Stion Corporation Method and structure for thin film photovoltaic materials using semiconductor materials
US20070281082A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US20070281089A1 (en) 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
FR2902422B1 (fr) 2006-06-16 2008-07-25 Saint Gobain Procede de depot par plasma atmopherique d'un revetement hydrophobe/oleophobe a durabilite amelioree
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US20080260963A1 (en) 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
JP2008108895A (ja) 2006-10-25 2008-05-08 Sekisui Chem Co Ltd 表面処理方法及び装置
TWI318417B (en) 2006-11-03 2009-12-11 Ind Tech Res Inst Hollow-type cathode electricity discharging apparatus
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
KR101349195B1 (ko) 2007-01-15 2014-01-09 최대규 코어 커버를 구비한 유도 결합 플라즈마 반응기
JP5433154B2 (ja) * 2007-02-23 2014-03-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20080241387A1 (en) 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8287647B2 (en) 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US8471170B2 (en) 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
EP2188413B1 (en) * 2007-09-07 2018-07-11 Fujifilm Manufacturing Europe B.V. Method for atomic layer deposition using an atmospheric pressure glow discharge plasma
TWI440405B (zh) 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
JP4582140B2 (ja) 2007-11-22 2010-11-17 セイコーエプソン株式会社 基板の表面処理方法
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
KR20090122727A (ko) 2008-05-26 2009-12-01 삼성전자주식회사 원자층 증착 장치와 이를 이용한 원자층 증착 방법
US7943527B2 (en) 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
EP2297377B1 (en) 2008-05-30 2017-12-27 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20100037824A1 (en) 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
CA2761748C (en) * 2009-05-28 2016-01-12 Kovio, Inc. Semiconductor devices on diffusion barrier coated substrates and methods of making the same
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20120021252A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
KR20130086620A (ko) 2010-11-05 2013-08-02 시너스 테크놀리지, 인코포레이티드 다중 플라즈마 챔버를 구비한 라디칼 반응기
CN103189543A (zh) 2010-11-24 2013-07-03 思诺斯技术公司 用于在大衬底上执行原子层沉积的具有多个分段的延伸反应器组件
US9163310B2 (en) * 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120225204A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition

Also Published As

Publication number Publication date
US8877300B2 (en) 2014-11-04
WO2012112584A3 (en) 2012-10-11
TWI512134B (zh) 2015-12-11
TW201247925A (en) 2012-12-01
US20120207948A1 (en) 2012-08-16
WO2012112584A2 (en) 2012-08-23
KR20130135917A (ko) 2013-12-11
US20150020737A1 (en) 2015-01-22

Similar Documents

Publication Publication Date Title
KR101608368B1 (ko) 기체 혼합물의 라디칼들을 이용한 원자층 증착
US9163310B2 (en) Enhanced deposition of layer on substrate using radicals
US20150104574A1 (en) Fast atomic layer deposition process using seed precursor
US20130337172A1 (en) Reactor in deposition device with multi-staged purging structure
KR101418143B1 (ko) 기상 증착 반응기 및 박막 형성 방법
KR100467366B1 (ko) 원자층 증착법을 이용한 지르코늄산화막 형성방법
US9376455B2 (en) Molecular layer deposition using reduction process
KR100716654B1 (ko) 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법
US20120114877A1 (en) Radical Reactor with Multiple Plasma Chambers
US20140030447A1 (en) Deposition of Graphene or Conjugated Carbons Using Radical Reactor
TWI585857B (zh) 半導體裝置之製造方法、基板處理方法、及電腦可讀取記錄媒體
US20140017414A1 (en) Method for Forming Aluminum Oxide Film Using Al Compound Containing Alkyl Group and Alkoxy or Alkylamine Group
KR101409890B1 (ko) 가스공급장치, 이를 구비한 박막증착장치 및 이를 이용한박막증착방법
KR20140070590A (ko) 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 기록 매체
US20170107614A1 (en) Multi-Step Atomic Layer Deposition Process for Silicon Nitride Film Formation
US20140065307A1 (en) Cooling substrate and atomic layer deposition apparatus using purge gas
US20160032452A1 (en) Atomic Layer Deposition Method Using Source Precursor Transformed by Hydrogen Radical Exposure
US20140037846A1 (en) Enhancing deposition process by heating precursor
KR20080064259A (ko) 개선된 금속 전구체 공급 및 퍼지 단계를 갖는 박막 증착방법
JP2009203533A (ja) 原子層成長装置
KR100531464B1 (ko) 원자층 증착법을 이용한 하프니움산화막 형성방법
KR20050015441A (ko) 산화하프늄 박막 증착 방법
KR20040006959A (ko) 반도체 소자용 산화막 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee