KR101419382B1 - 저온 cvd 시스템들에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 방사 가스 예열 - Google Patents

저온 cvd 시스템들에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 방사 가스 예열 Download PDF

Info

Publication number
KR101419382B1
KR101419382B1 KR1020097013016A KR20097013016A KR101419382B1 KR 101419382 B1 KR101419382 B1 KR 101419382B1 KR 1020097013016 A KR1020097013016 A KR 1020097013016A KR 20097013016 A KR20097013016 A KR 20097013016A KR 101419382 B1 KR101419382 B1 KR 101419382B1
Authority
KR
South Korea
Prior art keywords
gas
distribution assembly
gas distribution
thermal energy
chamber
Prior art date
Application number
KR1020097013016A
Other languages
English (en)
Other versions
KR20090089882A (ko
Inventor
데이비드 케이쓰 칼슨
사티쉬 쿠푸라오
하워드 벡포드
헤르만 디니즈
카일아쉬 키란 파타래이
브라이언 해이스 버로우스
제프리 로날드 캠벨
주우밍 즈후
시아오웨이 리
에롤 안토니오 산체즈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090089882A publication Critical patent/KR20090089882A/ko
Application granted granted Critical
Publication of KR101419382B1 publication Critical patent/KR101419382B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 명세서에서는 프로세스 챔버로 전구체 재료들을 전달하기 위한 장치 및 방법이 설명된다. 이러한 장치는 다수의 가스 전달 영역들을 가지는 가스 분배 조립체를 포함한다. 각각의 존은 적외선 광선 공급원과 같은 비-열 에너지의 하나 이상의 공급원과 전구체 가스를 수용하기 위한 유입부를 가지는 플레넘을 포함할 수 있다. 비-열 에너지의 하나 이상의 공급원은 적외선 광선 공급원으로부터의 파장들의 강도를 제어하기 위해 변화될 수 있다.
프로세스 챔버, 예열, 비-열 에너지

Description

저온 CVD 시스템들에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 방사 가스 예열 {INDEPENDENT RADIANT GAS PREHEATING FOR PRECURSOR DISASSOCIATION CONTROL AND GAS REACTION KINETICS IN LOW TEMPERATURE CVD SYSTEMS}
본 발명의 실시예들은 일반적으로 반도체 제조 프로세스를 위한 가스들의 예열에 관한 것이다. 보다 구체적으로는, 에피택셜 증착 프로세스(epitaxial deposition process) 또는 다른 화학 기상 증착 프로세스와 같이, 반도체 기판 상의 증착 반응 및 식각 반응에 사용되는 가스들의 예열에 관한 것이다.
실리콘 및/또는 게르마늄 함유 막의 에피택셜 성장(epitaxial growth)은 다른 장치들 중에서도 어드밴스드 로직(advanced logic) 및 DRAM 장치들에 대해 새로이 적용됨으로써 점점 중요해졌다. 이러한 적용(application)들에 있어 중요한 요건은 장치 피처(device feature)들이 제조과정 동안 손상되지 않도록 하는 저온 프로세스라는 것이다. 저온 프로세스는 또한 피처의 크기들이 45 nm 내지 65 nm의 범위에 있는 장래의 시장들에서 중요하며, 인접한 재료들의 확산 방지가 극히 중요하다. 보다 낮은 프로세스 온도들은 또한 실리콘 및/또는 게르마늄 함유 에피택셜 막의 성장 이전 및 에피택셜 막의 선택적 또는 전면적(blanket) 성장 동안의 기판 세정 모두에 요구될 수 있다. 선택적 성장(selective growth)이란, 일반적으로, 기판 표면상에 하나보다 많은 재료를 포함하는 기판상에서 막이 성장하고, 여기에서 막은 상기 기판의 제1 재료의 표면상에서 선택적으로 성장하며 상기 기판의 제2 재료의 표면상에서는 최소한의 성장으로부터 완전히 성장하지 않은 것을 의미한다.
약, 700℃ 미만의 온도들에서 성장하는, 실리콘 및/또는 게르마늄을 함유하는 선택적 및 전면적(blanket)(비-선택적 성장) 에피택셜 막들, 및 이러한 에피택셜 막들의 왜곡의 실시예(strained embodiments)가, 현재의 대부분의 반도체 응용에 요구되고 있다. 더욱이, 제거 시간이 단축될 때 더 높은 온도가 허용될 수도 있지만, 약 650℃ 또는 그 미만의 범위의 온도에서 달성되는 에피택셜 막의 형성 이전에 저절로 산화층 및 탄화수소를 제거하는 것이 바람직할 수 있다.
이러한 보다 낮은 온도 프로세싱은 원활히 작동하는 장치를 형성하는데 중요할 뿐만 아니라, 준안정 왜곡 층(metastable strain layers)의 완화(relaxation)를 방지하거나 최소화시키고, 도펀트 확산(dopant diffusion)을 방지하거나 최소화시키는 것을 도우며, 에피택셜 막 구조 내의 도펀트의 분리(segregation)를 방지하는 것을 돕는다. 저온 프로세스(저 열량 프로세스)에 의해 가능하게 되는 단 채널 효과들(short channel effects) 및 각면 형성(facet formation)의 억제는 고성능 장치들을 얻는데 있어서 중요한 요인이다.
도핑된 및 도핑되지 않은 실리콘(Si), 게르마늄(Ge), SiGe, 및 탄소 함유 막들의 선택적 및 전면적 에피택셜 성장을 위한 현재의 기술들은 통상적으로, 또한 RPCVD 또는 저압 CVD(LPCVD)로 불리는 감압 화학적 기상 증착(CVD)을 사용하여 실행된다. 약 200 Torr 미만과 같은, 통상적인 감압 프로세스는 허용할 수 있는 막 성장 속도를 얻기 위하여 약 700℃ 이상, 통상적으로는 750℃ 이상의 온도에서 실행된다. 일반적으로, 막 증착을 위한 전구체 화합물들은 실레인들(silanes), 저메인들(germanes), 이들의 화합물들 또는 이들의 유도체들과 같은 실리콘 및/또는 게르마늄 함유 화합물들이다. 일반적으로, 선택성 증착 프로세스들을 위하여, 이러한 전구체 화합물들은, 예를 들어 염소(Cl2), 염화 수소(HCl), 및 선택적으로 브롬화 수소(HBr)와 같은 추가 반응물들과 화합한다. 탄소-함유 실레인 전구체 화합물, 예를 들어 메틸실레인(CH3SiH3)이 도펀트로서 사용될 수 있다. 대안적으로, 예를 들어 다이보레인(B2H6), 아르신(AsH3), 및 포스핀(PH3)과 같은 무기 화합물들이 도펀트들로서 또한 사용될 수 있다.
기판상에 에피택셜 층을 증착하기 위한 통상적인 LPCVD 프로세스에서, 전구체들은 가스 분배 조립체에 의해 챔버 내의 프로세싱 영역으로 분사되며, 이러한 전구체들은, 자외선 및/또는 적외선 스펙트럼에서와 같이, 통상적으로 저 파장 방사선인, 프로세싱 영역 내의 전구체들의 조사(irradiation)에 의하여 챔버 내의 기판 표면 위에서 활성화된다(energize). 반응재료들을 해리시키기 위해 플라즈마 발생이 또한 이용될 수 있다. 기판 온도는 반응 종들(reactive species)의 흡착 및/또는 프로세스 부산물들(byproducts)의 탈착을 보조하기 위해 통상적으로 상승되며, 전구체들의 활성화(energization)를 최적화시키고 증착 또는 탈착 프로세스를 강화시키기 위하여 기판 온도와 프로세싱 영역 내의 전구체 온도 사이의 차이(delta)를 최소화시키는 것이 바람직하다.
보다 효율적인 해리 프로세스를 가능하게 하기 위해서는, 전구체들을 프로세싱 영역으로의 전달에 앞서 예열하여 기판 위에서 전구체들의 더 빠르고 더 효과적인 해리를 가능하게 하는 것이 바람직하다. 전구체들을 가열하기 위한 여러 방법들이 사용되었으나, 기판 표면 위에서 에너지 주입에 앞서 예열 온도를 안정화시키는 것이 과제로 남아 있다. 예를 들어, 전구체 온도는 가스 분배 조립체로의 유입에 앞서 또는 유입시에 원하는 온도로 상승될 수 있으나, 전구체의 온도는 가스 분배 조립체를 통한 유동에서 및/또는 기판 위의 프로세싱 영역으로의 유동 경로를 따른 열 손실에 의해서 낮아질 수 있다.
따라서, 프로세싱 영역과 전구체들의 유입 온도 사이의 온도 범위 차이를 최소화시키기 위한 방법 및 장치, 그리고 역시 전구체의 해리에 앞서 열 손실을 최소화시키는 가스 유입 지점에서 전구체들을 예열하기 위한 방법 및 장치가 본 발명이 속하는 기술분야에서 요구되고 있다.
본 명세서에 기재된 실시예들은 챔버 내의 프로세싱 영역으로 프로세스 가스를 전달하기 위한 방법 및 장치에 관한 것이다.
일 실시예에서, 가스 분배 조립체가 기술된다. 이러한 가스 분배 조립체는 두 개 이상의 가스원들로부터 복수의 플레넘들로 전구체 가스를 제공하기 위하여 하나 이상의 유입부를 가지는 몸체; 상기 복수의 플레넘들 각각 및 상기 두 개 이상의 가스원들 중 하나 또는 둘 다로부터 전구체 가스에 에너지를 제공하도록 위치하는 하나 이상의 비-열 에너지원; 상기 하나 이상의 비-열 에너지원과 소통되는 냉각제원을 포함하고, 상기 비-열 에너지는 상기 복수의 플레넘들 각각에서 독립적으로 제어된다.
다른 실시예에서, 증착 장치가 개시된다. 이러한 증착 장치들은 종축을 가지는 챔버, 및 상기 챔버의 측벽에 결합하는 가스 분배 조립체를 포함한다. 상기 가스 분배 조립체는 하나 또는 그보다 많은 가스원들에 결합하는 복수의 플레넘들, 상기 복수의 플레넘들 각각에 에너지를 제공하도록 위치하는 비-열 에너지원, 및 상기 비-열 에너지원에 결합하는 가변 전원을 포함하며, 상기 가스 분배 조립체가 상기 챔버의 종축에 수직인 상기 챔버를 통한 유동 경로를 제공한다.
또 다른 실시예에서, 챔버 내의 프로세싱 영역으로 예열된 전구체 가스를 전달하는 방법이 개시된다. 이러한 방법은 상기 프로세싱 영역과 소통되는 가스 분배 조립체에 전구체 가스를 제공하는 단계, 비-열 에너지를 이용하여 상기 가스 분배 조립체 내의 유입 지점에서 상기 전구체 가스를 가열하는 단계, 및 상기 프로세싱 영역과 상기 유입 지점 사이에 형성된 유동 경로를 따라 상기 전구체 가스에 제공된 열의 적어도 일부를 유지시키는 단계를 포함한다.
본 발명의 상술한 특징들이 자세하게 이해될 수 있도록 하기 위하여, 위에서 간략하게 설명된 본 발명의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부된 도면들에 도시되어 있다. 그러나 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시하고 있을 뿐이며, 따라서 본 발명은 여타의 균등한 효과적인 실시예들을 허용할 수 있으므로 첨부된 도면이 본 발명의 범위를 한정하는 것으로 고려되지 않아야 한다는 점에 주목하여야 한다.
도 1은 증착 챔버의 일 실시예의 개략적인 횡단면도이다.
도 2는 도 1에 도시된 증착 챔버의 일부에 대한 개략적인 평면도이다.
도 3은 가스 분배 조립체의 일 실시예의 개략적인 측면도이다.
도 4는 가스 분배 조립체의 다른 실시예의 개략적인 사시도이다.
도 5는 가스 분배 조립체의 다른 실시예의 개략적인 사시도이다.
도 6은 가스 분배 조립체의 다른 실시예의 개략적인 사시도이다.
이해를 돕기 위하여, 가능한 한, 도면에서 공통적인 동일한 요소들을 나타내기 위하여 동일한 참조 부호들이 사용되었다. 또한, 일 실시예에서 개시되는 요소들이 특별한 언급이 없더라도 다른 실시예들에 유리하게 사용할 수 있는 것으로 의도된다.
도 1은 에피택셜 증착을 위해 구성된 증착 챔버(100)의 개략적인 횡단면도를 도시하는데, 이러한 챔버는 캘리포니아, 산타 클라라의 Applied Materials, Inc. 로부터 입수 가능한 CENTURA® 통합 프로세싱 시스템의 일 부분일 수 있다. 증착 챔버(100)는, 알루미늄 또는 스테인리스 강, 예를 들어 316L 스테인리스 강과 같은 프로세스 내성 재료(process resistant material)로 제조되는 하우징 구조체(101)를 포함한다. 하우징 구조체(101)는 프로세스 용적(118)이 포함되어 있는, 상부 챔버(105) 및 하부 챔버(124)를 포함하는 석영 챔버(130)와 같은 프로세스 챔버(100)의 다양한 기능성 요소들을 둘러싼다. 가스 분배 조립체(150)에 의하여 석영 챔버(130)로 반응성 종들(reactive species)이 제공되며, 프로세싱 부산물들은, 통상적으로 진공원(도시되지 않음)과 소통되는 배출부(138)에 의하여 프로세싱 용적(118)으로부터 제거된다.
기판 지지부(117)는 프로세스 용적(118)으로 전달되는 기판(114)을 수용하도록 구성된다. 기판 지지부(117)는 증착 챔버(100)의 종축(102)을 따라 배치된다. 기판 지지부는, 실리콘 카바이드와 같은 실리콘 재료로 코팅된 그래파이트 재료 또는 세라믹 재료, 또는 다른 프로세스 내성 재료로 제조될 수 있다. 전구체 반응 재료들로부터의 반응성 종들은 기판(114)의 표면(116)에 가해지며, 부산물들은 후속적으로 표면(116)으로부터 제거될 수 있다. 프로세스 용적(118) 및/또는 기판(114)의 가열은 상부 램프 모듈(110A)들 및 하부 램프 모듈(110B)들과 같은 방사원들(radiation source)에 의해 제공될 수 있다.
일 실시예에서, 상부 램프 모듈(110A)들 및 하부 램프 모듈(110B)들은 적외선(IR) 램프들이다. 상부 램프 모듈(110A)들 및 하부 램프 모듈(110B)들로부터의 비-열 에너지 또는 방사(non-thermal energy or radiation)는 상부 석영 챔버(105)의 상부 석영 윈도우(104)를 통해서, 그리고 하부 석영 챔버(124)의 하부 석영 부분(103)을 통해서 이동한다. 필요하다면, 상부 석영 챔버(105)를 위한 냉각 가스들이 유입부(112)를 통해 들어와 배출부(113)를 통해 배출된다. 전구체 반응 재료들뿐만 아니라 챔버(100)를 위한 희석(diluent), 정화(purge), 및 환기(vent) 가스들이 가스 분배 조립체(150)를 통해 들어와 배출부(138)를 통해 배출된다.
기판(114)의 표면(116)으로부터 프로세스 부산물들의 탈착 및 반응재료들의 흡착을 보조하고 반응성 종들에 에너지를 가하는데 사용되는 프로세스 용적(118) 내의 저 파장 방사선은 전형적으로 약 0.8 μm 내지 약 1.2 μm 의 범위들, 예를 들어 약 0.95 μm 내지 약 1.05 μm 이며, 예를 들어 에피택셜 성장되는 막의 조성에 따라서 다양한 파장들의 조합이 제공된다. 다른 실시예에서는, 상부 램프 모듈(110A)들 및 하부 램프 모듈(110B)들이 자외선(UV) 광원일 수 있다. 일 실시예에서, UV 광원은 엑시머 램프(excimer lamp)이다. 다른 실시예에서, UV 광원들은 상부 석영 챔버(105) 및 하부 석영 챔버(124) 중 하나 또는 이들 모두의 IR 광원들과 결합하여 사용될 수 있다. IR 방사원들(radiation source)과 조합하여 사용되는 UV 방사원들의 예는 2005년 12월 15일에 미국 특허 공개공보 제2005/0277272호로 공개된 2004년 6월 10일에 출원되고 미국 특허 출원 제10/866,471호에 기재될 수 있으며, 상기 특허는 그 전체 내용이 인용에 의해 본원에 포함된다.
성분 가스(component gas)들은 가스 분배 조립체(150)를 통해 프로세스 용적(118)으로 들어간다. 가스는 가스 분배 조립체(150)로부터 유동하여 일반적으로 도면 부호 "122"로 도시된 바와 같이 포트(138)를 통해 배출된다. 기판 표면을 세정/부동화(passivate) 하거나 에피택셜 성장되는 실리콘 및/또는 게르마늄 함유 막을 형성하는데 사용되는 성분 가스들의 조합들은 전형적으로 프로세스 용적 내부로 들어가기에 앞서 혼합된다. 프로세스 용적(118) 내의 전체 압력은 배출 포트(138) 상의 밸브(도시되지 않음)에 의하여 조정될 수 있다. 프로세스 용적(118)의 내부 표면의 적어도 일부분은 라이너(131)로 덮여 있다. 일 실시예에서, 라이너(131)는 불투명한 석영 재료를 포함한다. 이러한 방식으로, 챔버 벽은 프로세스 용적(118) 내의 열로부터 단열된다.
프로세스 용적(118) 내의 표면의 온도는, 상부 석영 윈도우(104) 위에 위치하는 상부 램프 모듈(110A)들로부터의 방사와 조합하여, 포트(112)를 통해 들어와 포트(113)를 통해 배출되는 냉각 가스 유동에 의하여 약 200℃ 내지 약 600℃, 또는 그 이상의 온도 범위 내에서 제어될 수 있다. 하부 석영 챔버(124) 내의 온도는, 도시되지 않은 송풍 유닛(blower unit)의 속도를 조절함으로써 그리고 하부 석영 챔버(124)의 아래에 배치되는 하부 램프 모듈(110B)로부터의 방사선에 의하여 약 200℃ 내지 약 600℃ 또는 그 이상의 온도 범위 내에서 제어될 수 있다. 프로세스 용적(118) 내의 압력은 약 5 Torr 내지 약 30 Torr 사이와 같이, 약 0.1 Torr 내지약 600 Torr 사이에 있을 수 있다.
기판(114) 표면(116) 상의 온도는 하부 석영 챔버(124) 내의 하부 램프 모듈(110B)들에 대한 전력 조정에 의하여, 또는 상부 석영 챔버(104)를 덮는 상부 램프 모듈(110A)들 및 하부 석영 챔버(124) 내의 하부 램프 모듈(110B) 모두에 대한 전력 조정에 의하여 제어될 수 있다. 프로세스 용적(118) 내의 전력 밀도는, 약 80 W/cm2 내지 약 120 W/cm2 와 같이 약 40 W/cm2 내지 약 400 W/cm2 사이에 있을 수 있다.
일 양태에서, 가스 분배 조립체(150)는 기판(114) 또는 챔버(100)의 종축(102)에 대해 수직하게 또는 종축에 대해 반경 방향(106)으로 배치된다. 이러한 배향에서, 가스 분배 조립체(150)는 기판(114)의 표면(116)에 평행하게 또는 기판의 표면을 가로질러 반경 방향(106)으로 프로세스 가스들을 유동시키도록 구성된다. 하나의 적용에서, 프로세스 용적(118)으로의 유입에 앞서 가스들의 예열을 개시하기 위하여 및/또는 가스들 내의 특수한 결합들을 끊기 위하여 프로세스 가스들이 챔버(100)로의 유입 지점에서 예열된다. 이러한 방식에서는, 표면 반응 운동(surface reaction kinetics)이 기판(114)의 열적 온도로부터 무관하게 수정될 수 있다.
도 2는 기판(114)이 도시되고 있지 않다는 점을 제외하고는, 도 1에 도시된 챔버와 유사한 증착 챔버(100)의 일부에 대한 개략적인 평면도이다. 가스 분배 조립체(150)는 하우징 구조체(101)에 결합되어 도시되었다. 가스 분배 조립체(150)는 하나 또는 그보다 많은 가스원(140A 및 140B)들에 결합되는 분사 블록(210)을 포함한다. 가스 분배 조립체(150)는 또한 비-열적 가열 조립체(220)를 포함하는데, 이는 적어도 부분적으로 분사 블록(210) 내에 배치되는 IR 램프(225A-225F)들과 같은 복수의 방사 열원들을 포함한다. 분사 블록(210)은 또한 내부 플레넘(2242) 및 외부 플레넘(2241 및 2243)들과 같이, 천공 플레이트(154)의 개구(158)들의 상류에 배치되는 하나 또는 그보다 많은 플레넘(224N)들을 포함하며, IR 램프(225A-225F)들은 적어도 부분적으로 플레넘(224N)들 내에 배치된다
6개의 IR 램프들이 도시되어 있지만, 가스 분배 조립체(150)는 더 많거나 적은 IR 램프들을 포함할 수 있다. IR 램프(225A-225F)들은 특별한 프로세스에 필요한 방사 강도 및/또는 가스 분배 조립체(150)에 사용되는 IR 램프들의 개수에 따라 약 300와트 내지 약 1200와트 사이의 와트수를 가지는 급속 열 프로세싱(Rapid Thermal Processing; RTP) 램프들 또는 할로겐 타입 램프들을 포함할 수 있다. 도시된 실시예에서, IR 램프(225A-225F)들은 약 500 와트 내지 약 750 와트 사이의, 예를 들어 약 80 볼트 전력 인가로 약 500 와트 내지 약 550 와트 사이의 와트수를 가지는 RTP 형 램프들이다. 하나의 적용에서, 각각의 IR 램프(225A-225F)들에 의해 제공되는 전력 밀도가 플레넘(224N)들에서 약 25 W/cm2 내지 약 40 W/cm2 일 수 있다. 일 실시예에서는 IR 램프(225A-225F)들이 약 50℃ 내지 약 250℃의, 각 플레넘(224N) 내에서의 다양한 온도를 제공한다.
작동 중, Si 및 SiGe 전면적(blanket) 또는 선택적 막들을 형성하기 위한 전구체들이 하나 또는 그보다 많은 가스원(140A 및 140B)들로부터 가스 분배 조립체(150)로 제공된다. 가스원(140A, 140B)들은, 외부 플레넘(2241 및 2243)들로 도시된 외부 존 및 내부 플레넘(2242)으로 도시된 내부 존과 같이 가스 분배 조립체(150) 내의 유입 존들을 보조하도록 구성되는 방식으로, 가스 분배 조립체(150)에 결합될 수 있다. 가스원(140A, 140B)들은 플레넘(224N)들로의 유입 속도를 제어하기 위해 밸브(도시되지 않음)를 포함할 수 있다. 대안적으로, 플레넘(224N)들은 하나의 가스원과 소통될 수 있거나 또는 다른 가스원들이 부가되어 더 많은 유입 존들을 형성할 수 있다.
가스원(140A, 140B)들은 실레인(SiH4), 다이실레인(Si2H6), 디클로로실레인(SiH2Cl2), 헥사클로로실레인(Si2Cl6), 디브로모실레인(SiH2Br2), 고차 실레인(high order silanes)들, 이들의 유도체들, 및 이들의 화합물들을 포함하는 실레인들과 같은 실리콘 전구체들을 포함할 수 있다. 가스원(140A, 140B)들은 또한 저메인(GeH4), 다이저메인(Ge2H6), 게르마늄 테트라클로라이드(GeCl4), 디클로로저메인(GeH2Cl2), 이들의 유도체들, 및 이들의 화합물과 같은 게르마늄 함유 전구체들을 포함할 수 있다. 실리콘 및/또는 게르마늄 함유 전구체들은 염화수소(HCl), 염소가스(Cl2), 브롬화 수소(HBr), 및 이들의 화합물들과 조합하여 사용될 수 있다. 가스원(140A, 140B)들은 가스원(140A, 140B)들 중 하나 또는 이들 모두에 실리콘과 게르마늄 함유 전구체들 중 하나 또는 그보다 많은 전구체를 포함할 수 있다. 예를 들어, 외부 플레넘(2241 및 2243)들과 소통될 수 있는 가스원(140A)은, 수소 가스(H2) 또는 염소 가스(Cl2)와 같은 전구체 재료들을 포함할 수 있는 반면, 가스원(140B)은 실리콘 및/또는 게르마늄 함유 전구체들, 이들의 유도체들, 또는 이들의 화합물들을 포함할 수 있다.
가스원(140A, 140B)들로부터의 전구체 재료들은 플레넘(224N)들로 전달되며, IR 램프(225A-225F)들로부터의 비-열 에너지는 유입 지점에 있는 플레넘(224N)들 내에서 IR 에너지로 전구체 재료들을 조사(illuminate)한다. 비-열 에너지의 파장은 전구체 재료들의 진동성 스트레치 모드(vibrational stretch mode)를 이용하여 전구체 재료들을 공진시키고 여기시키며, 에너지가 전구체 재료들로 흡수되는데, 이는 프로세스 용적으로 들어가기에 앞서 전구체 재료들을 예열시키게 된다. IR 램프(225A-225F)들을 포함하는 분사 블록(210)은 스테인리스 강과 같은 고 반사율을 가지는 재료로 제조되는데, 분사 블록은 반사율을 높이기 위해 연마된(polished) 표면을 또한 포함할 수도 있다. 분사 블록(210)에 대한 재료의 반사 특성은 또한 분사 블록의 가열을 최소화하기 위한 단열체로서도 작동할 수 있으며, 이로써 분사 블록(210)에 근접할 수 있는 직원에 대한 안전을 높이게 된다. 일 실시예에서, 분사 블록(210)은 스테인리스 강을 포함하며, 플레넘(224N)들의 내부 표면은 연마된다. 다른 실시예에서는, 분사 블록(210)이 알루미늄을 포함하며 플레넘(224N)들의 내부 표면이 연마된다.
전구체 재료들은 이러한 여기 상태(excited state)에서 천공 플레이트(154) 내의 개구(158)들을 통해 프로세스 용적(118)으로 들어가며, 이러한 플레이트는 일 실시예에서 개구(158)들이 관통 형성되는 석영 재료이다. 이러한 실시예에서 천공 플레이트는 IR 에너지를 투과시키며, 투명한 석영 재료로 제조될 수 있다. 다른 실시예들에서, 천공 플레이트(154)는 IR 에너지를 투과시키는 임의의 재료일 수 있으며 프로세스 화학작용 및 기타의 프로세스 파라미터들에 내성을 가진다. 활성화되는 전구체 재료들은 천공 플레이트(154) 내의 복수의 홀(158)들을 통해, 그리고 복수의 채널(152N)들을 통해 프로세스 용적(118)을 향해 유동한다. IR 램프(225A-225F)들로부터의 비-열 에너지 및 광자(photon)들 중 일부도, 분사 블록(210)의 표면 및/또는 고 반사성 재료에 의하여 촉진되어, 또한 홀(158)들, 천공 플레이트(154), 및 채널(152N)들을 통과하고, 이로써 전구체 재료들의 유동 경로를 조사된다(도 3의 화살표 325로 도시됨). 이러한 방식에서, 전구체 재료들의 진동 에너지는 프로세스 용적(118)으로의 유입 지점으로부터 유동 경로를 따라 유지될 수 있다.
복수의 IR 램프(225A-225F)들에서의 IR 파장들의 강도는 프로세스에 따라 증가되거나 감소될 수 있다. 하나의 적용에서, IR 램프들의 강도가 필터 요소(405)들(도 4), 및 윈도우(610)(도 6)에 의하여 제어될 수 있다. 다른 실시예에서는, 덮개(sheath; 315)(도 3)가 IR 램프(225A-225F)들의 적어도 일 부분 위에 배치될 수 있고, 이러한 덮개는 램프들의 강도를 제어하는 필터 요소로서 구성될 수 있다. 일 예에서, 필터 요소들은 특정 파장들의 선택적 투과에 의하여 대역폭을 조절하도록 구성된 슬리브(sleeve), 시이트(sheet), 또는 렌즈일 수 있다. 필터 요소들은 IR 램프(225A-225F)들 중 하나 이상 또는 모든 IR 램프(225A-225F)들 모두에 대해 사용될 수 있다. 대안적으로, 상이한 IR 램프(225A-225F)들에 상이한 필터 요소들이 사용될 수 있다. 일 예에서, 외부 플레넘(2241 및 2243)들이 특정 스펙트럼을 흡수하거나 차단하도록 구성된 제1 필터를 사용하여 제1 레벨의 강도를 수용할 수 있는 반면, 내부 플레넘(2242)은 상이한 특정 스펙트럼을 흡수하거나 차단하도록 구성된 제2 필터를 사용하여 제2 레벨의 강도를 수용할 수 있다.
필터들과 조합하여 또는 단독으로 사용될 수 있는 다른 적용에서, 플레넘(224N)들에 의하여 한정되는 다수의 존들의 IR 강도가 전원(205) 및 제어기에 결합하는 리드(lead)(226A-226F)들에 의하여 개별적으로 제어될 수 있다. 예를 들어, 외부 플레넘(2241 및 2243)들은 제1 레벨의 강도를 수용할 수 있는 반면, 내부 플레넘(2242)은 IR 램프(225A-225F)들에 제공되는 신호들의 변동에 의하여 제2 레벨의 강도를 수용한다. 대안적으로, 각각의 IR 램프(225A-225F)들은 제어기에 의해 제공되는 신호들의 변동에 의하여 제각기 제어될 수 있다. IR 램프(225A-225F)의 강도는 개-루프 모드 또는 폐-루프 모드에서 제어될 수 있다. 따라서, 전구체 재료들은 예열되거나 활성화되는 상태에서 프로세싱 용적(118)으로 들어가게 되고, 이는 흡착 또는 탈착 시간 프레임 또는 해리(disassociation) 시간을 줄일 수 있고, 이는 계속해서 처리량을 증가시키게 된다.
도 3은 도 1 및 도 2에 도시된 가스 분배 조립체(150)의 일 실시예의 개략적인 측면도이다. 플레넘(2242) 내부로 적어도 부분적으로 삽입되어 있는 IR 램프(225C)의 일부를 수용하기 위해서 분사 블록(210) 내에 구멍(305)이 형성된다. 전구체 재료들은 분사 블록(210) 내에 배치되는 포트(320)에 의하여 플레넘(2242)으로 공급된다. 구멍(305)은 IR 램프(225C)의 일부를 둘러싸도록 구성된 덮개(315)를 위한 공간을 마련하도록 IR 램프(225C)보다 약간 더 큰 크기일 수 있다. 일 실시예에서, 덮개(315)는 예를 들어 석영, 플루오르화 마그네슘, 플루오르화 칼슘, 사파이어와 같이 IR 에너지를 투과시키는 재료로 제조된다. 다른 실시예에서, 덮개(315)는 특정 파장들을 선택적으로 투과시킴으로써 대역폭을 조절하기 위한 필터 요소로서 구성될 수 있다. 서모커플(thermocouple)들과 같은 온도 감지 장치(도시되지 않음)들이 분사 블록(210) 내에 배치되어 덮개의 온도 및/또는 플레넘(2242) 내의 온도를 모니터할 수 있다. 구멍(305)은 또한, 예를 들면 고온 시일(seal; 323), 특히 Teflon® 재료, 폴리에테르니트릴(polyethernitrile), 폴리에테르에테르케톤(PEEK), 폴리아릴에테르케톤(PAEK)과 같이 상승된 온도들에서 견딜 수 있도록 구성된 중합체 재료로 제조되는 O-링을 수용하기 위해, 플레넘(2242)에 대향하는 단부에 더 큰 직경 부분을 포함한다.
도 2 및 도 3을 참조하면 IR 램프(225A-225F)들은 IR 램프(225A-225F)들을 냉각시키기 위한 냉각 장치(310)에 결합한다. 하나의 적용에서, 냉각 장치(310)는 유입 포트(260A) 및 배출 포트(260B)를 가지는 관형 부재(156)와 같은 도관을 포함하며, 복수의 IR 램프(225A-225F)들에 냉각제를 제공하도록 구성된다. (도 2 및 도 3에 도시되지 않은) 다른 실시예들에서는, 냉각 장치가 단일 IR 램프에 결합된 하우징일 수 있다. 냉각 장치(310)는 IR 램프(225A-225F)들로부터의 열 전달을 촉진시키기 위하여 관형 부재(156)를 통해 순환하는 냉각제원(coolant source)(311)으로부터의 액체 또는 가스와 같은 냉각 유체를 포함할 수 있다. 관형 부재(156)는 또한 IR 램프(225A-225F)들의 일부를 수용하도록 구성된 구멍(306)들을 포함한다. 구멍들 중 하나 이상은 IR 램프의 일부를 수용하도록 그리고 관형 부재(156)를 밀봉하도록 구성된, 스테인리스 강 VCO 부품(fitting)과 같은, 부품(308)을 포함한다. 일 실시예에서, 냉각제원(311)으로부터의 냉각 유체는 질소 가스이며, 이는 관형 부재(156)를 통해 순환한다.
작동 중, 도 3을 참조하면, 가스원(140B)으로부터의 전구체 재료들은 포트(320)에 의하여 플레넘(2242)으로 유입되며, 그리고 전구체 재료들은 이러한 유입 지점에서 IR 램프(225C)에 의하여 방사방식으로 가열된다. (이 도면에는 도시되지 않은) 프로세싱 용적(118) 내의 낮은 부분 압력은 개구(158) 및 채널(152N)을 통한 유동 경로(325)를 형성한다. 전구체 재료들은 플레넘(2242)에서 활성화되며, 채널(152N) 내부로 반사되고 및/또는 채널 내부로 통과하는 비-열 에너지에 의하여 유동 경로(325)를 따라 활성화되는 상태로 유지된다. 따라서, 전구체 재료들의 예열, 그리고 활성화되는 전구체 재료들의 유지가 강화된다. 이러한 비-열 에너지를 사용하게 되면 전구체 유입 지점에서 또는 그 근방에서 저항성 또는 대류성(convective) 가열 요소들에 대한 필요성이 최소화되거나 제거되어 챔버 사용의 안전성을 향상시킬 수 있게 되며, 챔버에 대한 확장 냉각 시스템에 대한 요구가 최소화된다.
도 4-6은 도 1의 챔버(100)와 결합할 수 있는 가스 분배 조립체(150)의 다양한 실시예의 개략적인 사시도들이다. 가스 분배 조립체(150)는, 포트(320)들에 결합하는 가스원(140A) 및/또는 가스원(140B)과 같은 가스원과 소통되는 적어도 하나의 IR 램프(425)를 가지는 분사 블록(210)을 포함한다. 도시되지는 않았지만, 각각의 포트는 가스 분사 블록(210) 내에 배치되는 플레넘(224N)과 소통된다. 도 4 내지 도 6에 도시된 실시예들에서, 각각의 IR 램프(425)는, 전기적 접속(도시되지 않음)들과 냉각 성능들을 제공하는 하우징(410)에 의하여 분사 블록(210)에 개별적으로 결합한다. 일 실시예에서, 각각의 하우징(410)은 냉각제원(311)(도 3)에 결합할 수 있는 포트(415)를 포함한다. 하나의 적용에서, 각각의 포트(415)가 냉각 유체에 대한 유입부 및 유출부로서 작용한다.
도 4에 도시된 실시예에서, 복수의 IR 램프(425)들이 챔버(100)(도 1)에 대한 반경 방향에서 배치된다. 이러한 실시예에서, 각각의 IR 램프(425)는 포트(320)들의 방향적 배향(directional orientation)에 의해 한정되는 가스 분사 경로에 수직으로 배치된다. 추가로, 하나 또는 그보다 많은 IR 램프(425)들은 IR 램프(425)로부터의 특정 파장들의 선택적 투과에 의해 대역폭을 조정하도록 구성된 필터 요소(405)를 포함할 수 있다. 필터 요소(405)는 덮개(sheath), 플레이트, 시이트, 또는 특정 파장들을 차단하도록 구성된 임의의 제품이나 장치일 수 있다.
도 5에 도시된 실시예에서는, 복수의 IR 램프(425)들이 챔버(100)(도 1)의 종축에 대해 평행한 배향으로 배치된다. 이러한 실시예에서는, 각각의 IR 램프(425)가 포트(320)들의 방향적 배향에 의해 한정되는 가스 분사 경로에 실질적으로 평행하게 배치된다. 도시되지는 않았지만, 하나 또는 그보다 많은 IR 램프(425)들은 IR 램프(425)로부터의 특정 파장들의 선택적 투과에 의해 대역폭을 조정하도록 구성된 필터 요소(도 4)를 포함할 수 있다.
도 6에 도시된 실시예에서는, 단일 IR 램프(425)가 챔버(100)(도 1)에 대한 반경방향으로 배치된다. 이러한 실시예에서, IR 램프(425)는 포트(320)들의 방향적 배향에 의해 한정되는 가스 분사 경로에 수직으로 배치된다. 추가로, 가스 분사 블록(210)은 IR 램프(425) 및 플레넘(224N)(이 도면에는 도시되지 않음) 사이에 위치하는 플레이트(610)를 포함할 수 있다. 일 실시예에서, 플레이트(610)는 IR 광선에 투과성인 재료로 제조되는 윈도우로 구성될 수 있다. 다른 실시예에서, 플레이트(610)는 IR 램프(425)로부터의 특정 파장들의 선택적 투과에 의해 대역폭을 조정하도록 구성된 필터 요소로서 구성될 수 있다. 또 다른 실시예에서는, 플레이트(610)가 각각의 존에서 특정 파장들을 차단하도록 구성되는 다수의 존(615A, 615B)들을 가지는 필터 요소로서 구성될 수 있다.
실시예 (EXAMPLES)
일 예에서, 전면적(blanket) SiGe 막이 도 2에 도시된 가스 분배 조립체(150)를 사용하여 챔버(100) 내에서 300mm 웨이퍼 상에 형성되었다. 챔버에는 약 45 W/cm2 의 전력 밀도로 약 750℃의 프로세싱 영역(118) 내의 표면 온도와 약 10 Torr의 압력이 제공된다. 디클로로실레인과 저메인(germane)은 각각 약 0.5% 및 0.01% 에서 가스 분배 조립체(150)로부터 프로세싱 영역(118)으로 유입되었다. 약 30와트의 전력에서 작동하는 IR 램프(225A-225F)들로부터의 비-열 에너지는 약 138℃의, 덮개(315)에서 측정된 온도를 발생시켰다. 이는 막 성장 속도에서의 현저한 저하 및 막 내의 게르마늄 백분율에서의 증가를 초래하였다.
다른 예에서, 선택적인 SiGe 막이 도 2에 도시된 가스 분배 조립체(150)를 사용하여 챔버(100) 내에서 300mm 웨이퍼 상에 형성되었다. 챔버에는 약 45 W/cm2 의 전력 밀도로 약 750℃의 프로세싱 영역(118) 내의 표면 온도와 약 10 Torr의 압력이 제공된다. 디클로로실레인과 저메인이 각각 약 0.5% 및 0.01% 에서 가스 분배 조립체(150)로부터 프로세싱 영역(118)으로 유입되었다. 염화 수소가 또한 약 0.5%에서 제공되었다. 약 30와트의 전력에서 작동하는 IR 램프(225A-225F)들로부터의 비-열 에너지는 약 138℃의, 덮개(315)에서 측정된 온도를 발생시켰다. 이는 막 프로파일의 향상 및 막 성장 속도에서의 상당한 감소를 야기하였다.
다른 예에서, 선택적인 SiGe 막이 도 2에 도시된 가스 분배 조립체(150)를 사용하여 챔버(100) 내에서 300mm 웨이퍼 상에 형성되었다. 챔버에는 약 45 W/cm2 의 전력 밀도로 약 750℃의 프로세싱 영역(118) 내의 표면 온도와 약 10 Torr의 압력이 제공되었다. 실레인과 염화수소가 각각 약 0.25% 및 1.125% 에서 가스 분배 조립체(150)로부터 프로세싱 영역(118)으로 유입되었다. 약 25와트의 전력에서 작동하는 IR 램프(225A-225F)들로부터의 비-열 에너지는 약 110℃의, 덮개(315)에서 측정된 온도를 발생시켰다. 이는 막 성장 속도에서의 감소 및 막 내의 게르마늄의 백분율에서의 주목할 만한 증가를 초래하였다.
다른 예에서, 선택적인 SiGe 막이 도 2에 도시된 가스 분배 조립체(150)를 사용하여 챔버(100) 내에서 300mm 웨이퍼 상에 형성되었다. 챔버에는 약 45 W/cm2 의 전력 밀도로 약 750℃의 프로세싱 영역(118) 내의 표면 온도와 약 10 Torr의 압력이 제공되었다. 실레인과 저메인이 각각 0.25% 및 1.225% 에서 가스 분배 조립체(150)로부터 프로세싱 영역(118)으로 유입되었다. 염화 수소가 또한 약 0.575%에서 제공되었다. 약 25와트의 전력에서 작동하는 IR 램프(225A-225F)들로부터의 비-열 에너지는 약 110℃의, 덮개(315)에서 측정된 온도를 발생시켰다. 이는 막 성장 속도에서의 상당한 감소(약 56.5 Å/분) 및 막 내의 게르마늄의 백분율에서의 상당한 증가(약 0.25%)를 초래하였다.
전술한 설명이 본 발명의 실시예들에 관한 것이지만 본 발명의 다른 및 추가 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위가 아래의 청구범위들에 의해 결정된다.

Claims (25)

  1. 가스 분배 조립체로서,
    두 개 이상의 가스원들로부터 복수의 플레넘들로 전구체 가스를 제공하기 위하여 하나 이상의 유입부를 가지는 몸체;
    상기 복수의 플레넘들 각각의 하나 이상의 측부와 경계를 이루는 천공 플레이트;
    상기 두 개 이상의 가스원들 중 하나 또는 둘 다로부터 상기 전구체 가스에 에너지를 제공하고 상기 천공 플레이트 내의 개구들을 통하여 활성화된 가스를 유동시키기 위해 상기 복수의 플레넘들의 각각 내에 위치하는, 하나 이상의 비-열 에너지원; 및
    상기 하나 이상의 비-열 에너지원과 소통되는 냉각제원(coolant source)을 포함하고,
    상기 복수의 플레넘들 각각에서 상기 비-열 에너지가 독립적으로 제어되는,
    가스 분배 조립체.
  2. 제1항에 있어서,
    상기 하나 이상의 비-열 에너지원에 결합되는 덮개를 더 포함하는,
    가스 분배 조립체.
  3. 제1항에 있어서,
    상기 하나 이상의 비-열 에너지원에 결합되는 가변 전원을 더 포함하는,
    가스 분배 조립체.
  4. 제1항에 있어서,
    상기 비-열 에너지원이 적외선 램프인,
    가스 분배 조립체.
  5. 제1항에 있어서,
    상기 천공 플레이트는 비-열 에너지에 대해 투명한 재료를 포함하는,
    가스 분배 조립체.
  6. 제1항에 있어서,
    상기 복수의 플레넘들의 적어도 일 부분은 내부 존 및 외부 존을 포함하며 각각의 존에 대한 에너지가 독립적으로 제어되는,
    가스 분배 조립체.
  7. 제1항에 있어서,
    상기 활성화된 가스는 상기 가스 분배 조립체가 결합하는 챔버의 종축에 수직인 방향으로 챔버 내로 유동하도록 지향되는,
    가스 분배 조립체.
  8. 증착 장비로서,
    종축을 가지는 챔버; 및
    상기 챔버의 측벽에 결합되는 가스 분배 조립체;를 포함하고,
    상기 가스 분배 조립체가,
    하나 또는 그보다 많은 가스원들에 결합되는 복수의 플레넘들;
    상기 복수의 플레넘들 각각에 에너지를 제공하도록 위치하는 비-열 에너지원; 및
    상기 비-열 에너지원에 결합되는 가변 전원;을 포함하며,
    상기 가스 분배 조립체가 상기 챔버의 종축에 수직인, 상기 챔버를 통과하는 유동 경로를 제공하는,
    증착 장비.
  9. 제8항에 있어서,
    상기 비-열 에너지원은 적외선 램프인,
    증착 장비.
  10. 제8항에 있어서,
    상기 비-열 에너지원이 복수의 적외선 램프들이며, 상기 복수의 적외선 램프들 중 하나 이상이 각각의 플레넘 내에 부분적으로 또는 전체적으로 배치되는,
    증착 장비.
  11. 제8항에 있어서,
    상기 복수의 플레넘들의 일부 또는 전체가 내부 존 및 외부 존을 포함하며, 각각의 존으로의 에너지가 독립적으로 제어되는,
    증착 장비.
  12. 제8항에 있어서,
    상기 비-열 에너지원이 상기 챔버의 종축에 수직한 가스 분배 조립체에 결합되는,
    증착 장비.
  13. 제8항에 있어서,
    각각의 비-열 에너지원이 냉각제원에 결합되는,
    증착 장비.
  14. 제8항에 있어서,
    상기 비-열 에너지원으로부터의 상기 비-열 에너지의 일부를 차단하도록 위치하는 필터 요소를 더 포함하는,
    증착 장비.
  15. 증착 장비로서,
    종축을 가지는 챔버; 및
    상기 챔버의 측벽으로 결합되는 가스 분배 조립체를 포함하고,
    상기 가스 분배 조립체는:
    두 개 이상의 가스원들로부터 복수의 플레넘들로 전구체 가스를 전달하도록 하나 이상의 유입부를 가지는 주입 블록;
    상기 복수의 플레넘들의 하나 이상의 측부와 경계를 이루는 천공 플레이트; 및
    상기 복수의 플레넘들의 각각 및 두 개 이상의 가스원들 중 하나 또는 둘 다로부터 상기 전구체 가스로 에너지를 제공하도록 위치되는 하나 이상의 에너지원을 포함하며,
    상기 가스 분배 조립체는 상기 챔버의 종축에 대해 수직인, 상기 챔버를 통과하는 유동 경로를 제공하는,
    증착 장비.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
KR1020097013016A 2006-11-21 2007-11-13 저온 cvd 시스템들에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 방사 가스 예열 KR101419382B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US86679906P 2006-11-21 2006-11-21
US60/866,799 2006-11-21
US11/937,388 US7976634B2 (en) 2006-11-21 2007-11-08 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US11/937,388 2007-11-08
PCT/US2007/084482 WO2008063980A2 (en) 2006-11-21 2007-11-13 Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems

Publications (2)

Publication Number Publication Date
KR20090089882A KR20090089882A (ko) 2009-08-24
KR101419382B1 true KR101419382B1 (ko) 2014-07-14

Family

ID=39430471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097013016A KR101419382B1 (ko) 2006-11-21 2007-11-13 저온 cvd 시스템들에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 방사 가스 예열

Country Status (5)

Country Link
US (3) US7976634B2 (ko)
JP (1) JP5005038B2 (ko)
KR (1) KR101419382B1 (ko)
TW (1) TWI383120B (ko)
WO (1) WO2008063980A2 (ko)

Families Citing this family (394)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG171683A1 (en) * 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
WO2009059237A2 (en) * 2007-10-31 2009-05-07 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
WO2009134989A2 (en) 2008-05-02 2009-11-05 Advanced Technology Materials, Inc. Antimony compounds useful for deposition of antimony-containing materials
WO2009152108A2 (en) * 2008-06-10 2009-12-17 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRISTALLINITY
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010065874A2 (en) 2008-12-05 2010-06-10 Atmi High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TW201106513A (en) * 2009-05-22 2011-02-16 Advanced Tech Materials Low temperature GST process
US8410468B2 (en) * 2009-07-02 2013-04-02 Advanced Technology Materials, Inc. Hollow GST structure with dielectric fill
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
JP4854794B2 (ja) * 2010-03-18 2012-01-18 三井造船株式会社 薄膜形成装置
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
KR101932578B1 (ko) * 2010-04-30 2018-12-28 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
WO2011146913A2 (en) 2010-05-21 2011-11-24 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US20130052806A1 (en) * 2011-08-22 2013-02-28 Soitec Deposition systems having access gates at desirable locations, and related methods
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
DE102011083245B4 (de) * 2011-09-22 2019-04-25 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer epitaktischen Schicht aus Silizium auf einer Halbleiterscheibe aus einkristallinem Silizium durch Gasphasenabscheidung in einer Prozesskammer
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
CN104106128B (zh) * 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8772055B1 (en) 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10405375B2 (en) * 2013-03-11 2019-09-03 Applied Materials, Inc. Lamphead PCB with flexible standoffs
US10403521B2 (en) 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US9991153B2 (en) * 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US9499909B2 (en) 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
CN107833848B (zh) 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10053777B2 (en) * 2014-03-19 2018-08-21 Applied Materials, Inc. Thermal processing chamber
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20210025702A (ko) * 2018-08-03 2021-03-09 어플라이드 머티어리얼스, 인코포레이티드 램프헤드에서의 다중구역 램프 제어 및 개별 램프 제어
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
KR102642790B1 (ko) * 2018-08-06 2024-03-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113565839B (zh) * 2021-06-10 2022-08-16 北京交通大学 利用自然对流增强封闭腔内流体流动与混合的装置及方法
US20230066087A1 (en) * 2021-09-01 2023-03-02 Applied Materials, Inc. Quartz susceptor for accurate non-contact temperature measurement
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024039602A1 (en) * 2022-08-17 2024-02-22 Lam Research Corporation Multichannel heated gas delivery system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030037879A1 (en) * 2001-08-24 2003-02-27 Applied Materials, Inc. Top gas feed lid for semiconductor processing chamber
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4047496A (en) * 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
US4346339A (en) * 1980-10-29 1982-08-24 Sperry Corporation Apparatus for automatic regulation of AC power
JPS61279120A (ja) * 1985-06-05 1986-12-09 Matsushita Electric Ind Co Ltd 気相成長装置
JPH0693452B2 (ja) * 1986-01-29 1994-11-16 株式会社日立製作所 枚葉式薄膜形成法および薄膜形成装置
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPS6468476A (en) * 1987-09-07 1989-03-14 Nec Corp Photoexcitation vapor chemical growth device
JPH0191423A (ja) * 1987-10-02 1989-04-11 Hitachi Ltd 表面処理装置
DE3923390A1 (de) * 1988-07-14 1990-01-25 Canon Kk Vorrichtung zur bildung eines grossflaechigen aufgedampften films unter verwendung von wenigstens zwei getrennt gebildeten aktivierten gasen
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5252366A (en) * 1990-01-24 1993-10-12 The United States Of America As Represented By The Secretary Of The Air Force Chemical vapor deposition method using an actively cooled effuser to coat a substrate having a heated surface layer
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5005519A (en) * 1990-03-14 1991-04-09 Fusion Systems Corporation Reaction chamber having non-clouded window
US5310260A (en) * 1990-04-10 1994-05-10 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5252132A (en) * 1990-11-22 1993-10-12 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor film
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
JPH05295549A (ja) * 1992-04-20 1993-11-09 Hitachi Ltd 熱処理装置
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5916369A (en) 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
EP0636704B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
US5650082A (en) * 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3761918B2 (ja) 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US6108490A (en) * 1996-07-11 2000-08-22 Cvc, Inc. Multizone illuminator for rapid thermal processing with improved spatial resolution
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5863327A (en) * 1997-02-10 1999-01-26 Micron Technology, Inc. Apparatus for forming materials
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6037273A (en) 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6159866A (en) 1998-03-02 2000-12-12 Applied Materials, Inc. Method for insitu vapor generation for forming an oxide on a substrate
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JP2000114196A (ja) * 1998-08-06 2000-04-21 Ushio Inc 光照射式加熱装置の冷却構造
US6714300B1 (en) * 1998-09-28 2004-03-30 Therma-Wave, Inc. Optical inspection equipment for semiconductor wafers with precleaning
JP2000138168A (ja) * 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
TWI248108B (en) * 1998-11-13 2006-01-21 Applied Materials Inc Gas distribution system for a CVD processing chamber
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6122440A (en) * 1999-01-27 2000-09-19 Regents Of The University Of Minnesota Optical heating device for rapid thermal processing (RTP) system
US6281141B1 (en) * 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6572974B1 (en) 1999-12-06 2003-06-03 The Regents Of The University Of Michigan Modification of infrared reflectivity using silicon dioxide thin films derived from silsesquioxane resins
US6951827B2 (en) 2000-03-15 2005-10-04 Tufts University Controlling surface chemistry on solid substrates
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US6891131B2 (en) * 2000-04-20 2005-05-10 Tokyo Electron Limited Thermal processing system
US6566630B2 (en) * 2000-04-21 2003-05-20 Tokyo Electron Limited Thermal processing apparatus for introducing gas between a target object and a cooling unit for cooling the target object
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6476362B1 (en) * 2000-09-12 2002-11-05 Applied Materials, Inc. Lamp array for thermal processing chamber
US6482739B2 (en) 2001-02-21 2002-11-19 United Microelectronics Corp. Method for decreasing the resistivity of the gate and the leaky junction of the source/drain
JP4228569B2 (ja) 2001-11-28 2009-02-25 セイコーエプソン株式会社 電子デバイス用基板の製造方法及び電子デバイスの製造方法
US6576565B1 (en) * 2002-02-14 2003-06-10 Infineon Technologies, Ag RTCVD process and reactor for improved conformality and step-coverage
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6900133B2 (en) 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6972228B2 (en) 2003-03-12 2005-12-06 Intel Corporation Method of forming an element of a microelectronic circuit
US7118781B1 (en) * 2003-04-16 2006-10-10 Cree, Inc. Methods for controlling formation of deposits in a deposition system and deposition methods including the same
US7107820B2 (en) 2003-05-02 2006-09-19 Praxair S.T. Technology, Inc. Integrated gas supply and leak detection system
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
WO2005124859A2 (en) * 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR101309334B1 (ko) 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100621777B1 (ko) * 2005-05-04 2006-09-15 삼성전자주식회사 기판 열처리 장치
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7709391B2 (en) 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101892467B1 (ko) * 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US20030037879A1 (en) * 2001-08-24 2003-02-27 Applied Materials, Inc. Top gas feed lid for semiconductor processing chamber

Also Published As

Publication number Publication date
US20170362702A9 (en) 2017-12-21
US20110259432A1 (en) 2011-10-27
US20080210163A1 (en) 2008-09-04
US8663390B2 (en) 2014-03-04
JP5005038B2 (ja) 2012-08-22
TWI383120B (zh) 2013-01-21
WO2008063980A2 (en) 2008-05-29
US7976634B2 (en) 2011-07-12
KR20090089882A (ko) 2009-08-24
WO2008063980A3 (en) 2008-08-07
US20140175054A1 (en) 2014-06-26
TW200835892A (en) 2008-09-01
JP2010510670A (ja) 2010-04-02

Similar Documents

Publication Publication Date Title
KR101419382B1 (ko) 저온 cvd 시스템들에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 방사 가스 예열
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
US9695508B2 (en) Liner assembly for chemical vapor deposition chamber
US9449859B2 (en) Multi-gas centrally cooled showerhead design
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
US20190062904A1 (en) Integrated epitaxy system high temperature contaminant removal
WO2010099344A1 (en) High throughput multi-wafer epitaxial reactor
US20220157604A1 (en) Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
CN101535530A (zh) 用于低温cvd系统中的前驱物解离作用控制及气体反应动力的独立辐射气体预热法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190701

Year of fee payment: 6