KR100920016B1 - 다수의 캐패시터를 형성하는 방법 - Google Patents

다수의 캐패시터를 형성하는 방법 Download PDF

Info

Publication number
KR100920016B1
KR100920016B1 KR1020077021914A KR20077021914A KR100920016B1 KR 100920016 B1 KR100920016 B1 KR 100920016B1 KR 1020077021914 A KR1020077021914 A KR 1020077021914A KR 20077021914 A KR20077021914 A KR 20077021914A KR 100920016 B1 KR100920016 B1 KR 100920016B1
Authority
KR
South Korea
Prior art keywords
capacitor
etching
forming
abandoned
capacitor electrodes
Prior art date
Application number
KR1020077021914A
Other languages
English (en)
Other versions
KR20070104675A (ko
Inventor
거테즈 에스. 샌두
마크 디. 더캔
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20070104675A publication Critical patent/KR20070104675A/ko
Application granted granted Critical
Publication of KR100920016B1 publication Critical patent/KR100920016B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/43Electric condenser making

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 다수의 캐패시터를 형성하는 방법들을 포함한다. 일 구현에서, 다수의 캐패시터를 형성하는 방법은, 기판 위에 캐패시터 어레이부(array area) 내에 다수의 캐패시터 전극을 제공하는 것을 포함한다. 캐패시터 전극들은 외측 측면 측벽들을 포함한다. 다수의 캐패시터 전극은, 외측 측면 측벽과 접촉하는 유지 구조(40)를 이용하여 적어도 부분적으로 지지된다. 이 유지 구조(40)는, 상기 유지 구조를 형성하기 위해 캐패시터 어레이부 내의 어느 곳에서도 마스킹되지 않는 층(36)을 에칭함으로써 적어도 부분적으로 형성된다. 다수의 캐패시터 전극은 다수의 캐패시터에 포함된다.
Figure R1020077021914
캐패시터, 캐패시터 전극, 캐패시터 어레이, 다수 캐패시터

Description

다수의 캐패시터를 형성하는 방법{METHODS OF FORMING PLURALITIES OF CAPACITORS}
본 발명은 다수의 캐패시터를 형성하는 방법들에 관한 것이다.
캐패시터들은 집적 회로들, 예를 들어, DRAM 회로의 제조에서 일반적으로 사용되는 한 유형의 구성품이다. 전형적인 캐패시터는 비전도성 유전체 영역에 의해 분리된 두 개의 전도성 전극으로 구성된다. 집적 회로 밀도가 증가함에 따라, 전형적으로 감소하는 캐패시터 면적에도 불구하고, 충분히 높은 저장 정전용량을 유지하기 위한 꾸준한 도전이 있다. 집적 회로 밀도의 증가는 전형적으로, 캐패시터들의 수직 치수와 비교시 캐패시터들의 수평 수치에서 보다 큰 감소를 초래해왔다. 많은 예들에서, 캐패시터들의 수직 수치가 증가되어 왔다.
캐패시터들을 형성하는 하나의 방법은 캐패시터 저장 노드 전극이 형성되는 부분 내에 절연성 물질을 초기에 형성하는 것이다. 예를 들어, 개개의 캐패시터들을 위한 캐패시터 전극 개구부들의 어레이(array)는 전형적으로 절연성 캐패시터 전극 형성 물질로 제조되며, 전형적인 절연성 전극 형성 물질은 인과 붕소 중 하나 또는 둘 다로 도핑된 실리콘 이산화물이다. 캐패시터 전극 개구부들은 전형적으로 에칭에 의해 형성된다. 그러나, 특히 개구부들이 깊은 경우, 절연성 물질 내에서 캐패시터 전극 개구부들을 에칭하는 것은 어려울 수 있다.
그럼에도 불구하고, 각각의 캐패시터 전극들이 개구부들 내에 형성된 후, 캐패시터 전극 형성 물질 전부는 아니라도 대부분을 에칭하는 것을 종종 바랄 수 있다. 이로 인해 전극들의 외측 측벽 표면들이 증가된 면적을 제공할 수 있어 캐패시터들에 대한 증가된 정전용량이 형성된다. 그러나, 깊은 개구부들에 형성된 캐패시터 전극들은 전형적으로 폭이 넓은 것보다는 상대적으로 길이가 더 길다. 이로 인해, 외측 측벽 표면들을 노출시키는 에칭 기간, 기판의 전송 기간 및/또는 캐패시터 유전체층 혹은 외측 캐패시터 전극층의 퇴적 기간 중 어느 하나의 기간 동안 캐패시터 전극들이 무너질 수 있다(toppling). 본 출원인의 미국 특허 번호 6,667,502는 이러한 무너지는 현상을 경감하도록 하는 버팀목(brace) 혹은 유지 구조(retaining structure)를 교시한다.
본 발명은 상기 확인된 이슈를 다루도록 동기 부여되지만, 그렇게 한정되는 것은 아니다. 본 발명은 본 명세서에 대한 해설적인 참조 혹은 다른 한정하는 참조없이, 균등론에 입각해서 첨부되는 청구범위에 의해 단지 문언적으로만 한정된다.
<요 약>
본 발명은 다수의 캐패시터를 형성하는 방법들을 포함한다. 일 구현에서, 다수의 캐패시터를 형성하는 방법은, 기판 위에 캐패시터 어레이부(array area) 내에 다수의 캐패시터 전극을 제공하는 것을 포함한다. 캐패시터 전극들은 외측 측면 측벽들을 포함한다. 다수의 캐패시터 전극은, 외측 측면 측벽과 접촉하는 유지 구조를 이용하여 적어도 부분적으로 지지된다. 이 유지 구조는, 상기 유지 구조를 형성하기 위해 캐패시터 어레이부 내의 어느곳에서도 마스킹되지 않는 층을 에칭함으로써 적어도 부분적으로 형성된다. 다수의 캐패시터 전극은 다수의 캐패시터에 포함된다.
일 구현에서, 다수의 캐패시터를 형성하는 방법은 캐패시터 전극 형성 물질 위에 상이한 조성의 제1, 제2 및 제3 물질들을 형성하는 것을 포함한다. 제1, 제2, 및 제3 물질들은 캐패시터 전극 형성 물질 위에 소정의 공통 높이(some common elevation)로 적어도 부분적으로 수용된다. 제2 물질은 이방성으로 에칭된 유지 구조를 포함한다. 제1 물질이 제2 및 제3 물질들에 대해 실질적으로 선택 에칭된 후, 캐패시터 전극 형성 물질을 제2 및 제3 물질들에 대해 실질적으로 선택 에칭하여 다수의 캐패시터 전극 개구부를 형성한다. 개개의 캐패시터 전극들은 개개의 캐패시터 전극 개구부들 내에 형성된다. 제3 물질이 제2 물질에 대해 실질적으로 선택 에칭되고 캐패시터 전극들에 대해 실질적으로 선택 에칭되어, 에칭되는 상기 제3 물질 하부의 캐패시터 전극 형성 물질을 노출시킨다. 이어서, 캐패시터 전극 형성 물질을 제2 물질에 대해 실질적으로 선택 에칭하고 캐패시터 전극들에 대해 실질적으로 선택 에칭하여 캐패시터 전극들의 외측 측면 측벽들을 노출시키고 캐패시터 전극들을 지지하는 유지 구조의 적어도 일부를 남긴다. 다수의 캐패시터 전극은 다수의 캐패시터에 포함된다.
다른 양상들 및 구현들이 고려된다.
본 발명에 대한 바람직한 실시예들이 다음의 수반하는 도면들과 관련하여 아래에 설명되어 있다.
도 1은 본 발명의 일 양상에 따른, 프로세스에서의 반도체 웨이퍼 단편(fragment)에 대한 부분적 도식화 단면이다.
도 2는 도 1에 나타난 실시예에 대한 선택적 실시예이다.
도 3은 도 1의 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 1의 좌측 부분의 상면도이다.
도 4는 도 3에 관한 도면으로서, 도 4의 좌측 부분이 도 3의 라인 4-4를 통해 취해진 도면이다.
도 5는 도 3 기판에 관한 도면으로서, 도 5의 좌측 부분이 도 3의 라인 5-5를 통해 취해진 도면이다.
도 6은 도 4에 나타낸 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 4 기판에 대한 도면이다.
도 7은 도 5에 나타낸 프로세싱 단계의 다음에 오고, 도 6의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서, 도 5 기판에 대한 도면이다.
도 8은 도 3의 프로세싱 단계의 다음에 오고, 도 6과 7의 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 3 기판에 대한 상면도이다.
도 9는 도 7에 나타낸 프로세싱 단계의 다음에 오고, 도 8의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서의 도 7에 대한 도면으로서, 도 9의 좌측 부분이 도 8의 라인 9-9를 통해 취해진 도면이다.
도 10은 도 6에 나타낸 프로세싱 단계의 다음에 오고, 도 8의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서의 도 6에 대한 도면으로서, 도 10의 좌측 부분이 도 8의 라인 10-10을 통해 취해진 도면이다.
도 11은 도 8의 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 8 기판에 대한 상면도이다.
도 12는 도 9에 나타낸 프로세싱 단계의 다음에 오고, 도 11의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서의 도 9 기판에 대한 도면으로서, 도 12의 좌측 부분이 도 11의 라인 12-12를 통해 취해진 도면이다.
도 13은 도 10에 나타낸 프로세싱 단계의 다음에 오고, 도 11의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서의 도 10 기판에 대한 도면으로서, 도 13의 좌측 부분이 도 11의 라인 13-13을 통해 취해진 도면이다.
도 14는 도 13에 나타낸 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 13 기판에 대한 도면이다.
도 15는 도 12에 나타낸 프로세싱 단계의 다음에 오고, 도 14의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서, 도 12 기판에 대한 도면이다.
도 16은 도 11의 프로세싱 단계의 다음에 오고, 도 14 및 15의 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 11 기판에 대한 상면도이다.
도 17은 도 14에 나타낸 프로세싱 단계의 다음에 오고, 도 16의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서의 도 14 기판에 대한 도면으로서, 도 17의 좌측 부분이 도 16의 라인 17-17을 통해 취해진 도면이다.
도 18은 도 15에 나타낸 프로세싱 단계의 다음에 오고, 도 16의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서의 도 15 기판에 대한 도면으로서, 도 18의 좌측 부분이 도 16의 라인 18-18을 통해 취해진 도면이다.
도 19는 도 16의 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 16 기판에 대한 상면도이다.
도 20은 도 18에 나타낸 프로세싱 단계의 다음에 오고, 도 19의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서의 도 18에 대한 도면으로서, 도 20의 좌측 부분이 도 19의 라인 20-20을 통해 취해진 도면이다.
도 21은 도 17에 나타낸 프로세싱 단계의 다음에 오고, 도 19 및 20의 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 17 기판에 대한 도면이다.
도 22는 도 20에 나타낸 프로세싱 단계의 다음에 오고, 도 21의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서, 도 20 기판에 대한 도면이다.
도 23은 도 21에 나타낸 프로세싱 단계의 다음에 오는 프로세싱 단계에서, 도 21 기판의 좌측 부분에 대한 도면이다.
도 24는 도 22에 나타낸 프로세싱 단계의 다음에 오고, 도 23의 프로세싱 단계에 차례로 대응하는 프로세싱 단계에서, 도 22 기판의 좌측 부분에 대한 도면이다.
도 25는 선택적 실시예의 상면도이다.
본 발명의 설명은 "과학 및 유용한 분야에 대한 진보를 장려하기 위한" 미국 특허법의 본질적 목적 증진에 따른다(1조 8항).
도 1에 따르면, 본 발명의 일 양상에 따른 프로세스에서의 반도체 기판은 일반적으로 참조번호 10으로 나타낸다. 그것은 하나의 예시적 실시예에서 예를 들어, 벌크 단결정 실리콘 혹은 다른 물질로 이루어진 반도체 기판을 포함한다. 본 명세서의 내용에서, "반도체(semiconductor) 기판" 혹은 "반도체적(semiconductive) 기판" 용어는 반도체 웨이퍼(단독 혹은 그 위에 다른 물질들을 포함하는 조립체들)와 같은 벌크 반도체 물질들, 및 반도체 물질층들(단독 혹은 그 위에 다른 물질들을 포함하는 조립체들)을 포함하되 이 물질들로 한정되지 않는 반도체 물질을 포함하는 임의의 구성을 의미하는 것으로 정의된다. "기판" 용어는 상기 기술된 반도체 기판들을 포함하되 이것에 한정되지 않는 임의의 지지 구조를 의미한다. 또한, 본 명세서의 내용에서, "층(layer)" 용어는, 다르게 표시되지 않는다면, 단수와 복수 모두를 포함한다.
본 설명은, 바람직한 실시예에서, 예를 들어 DRAM 혹은 다른 메모리 회로 구성들에서 활용될 수 있는, 캐패시터 어레이를 형성하는 방법으로 진행한다. 기판 단편(10)은 영역(14) 및 영역(16)을 포함하도록 고려될 수 있다. 일 구현에서, 하나의 바람직한 실시예에서의 영역(14)은 캐패시터 어레이부를 포함하고, 영역(16)은 캐패시터 어레이부(14)의 주변에 있는 회로부를 포함한다. 또한 단지 예로서, 기판 단편(10)은, 계속되는 설명에서 명백하듯이, 다수의 캐패시터의 캐패시터 전극들에 대한 전기적 접속을 위해 형성된 다수의 도전성 컨택 플러그(19 및 21)를 갖는 절연층(18)을 포함하는 것으로 나타낸다. 절연 물질(18)은 다른 기판 물질 (도시되지 않음), 예를 들어, 벌크 단결정 실리콘, SOI(Semiconductor-on-Insulator) 회로, 혹은 이미 존재하거나, 아직 개발되고 있는 다른 기판 물질 위에 놓인다. 예시적인 바람직한 절연 물질(18)은, 예를 들어, BPSG(borophosilicate glass)와 같은, 붕소와 인 중 적어도 하나가 도핑된 실리콘 이산화물(silicon dioxide)을 포함한다. 도전성 플러그들(19 및 21)은 예를 들어, 도전성있게 도핑된 반도체 물질을 포함하는 하나 혹은 그 이상의 도전성 물질들을 포함할 것이다. 기판(18/19/21)은 단지 예시이고, 이미 존재하거나 아직 개발되고 있는 임의의 생각할 수 있는 기판이 고려된다.
제1 물질(20)은 기판(18/19/21) 위에 형성된다. 예시적인 바람직한 물질은 1000Å부터 20,000Å까지의 예시적인 바람직한 두께 범위를 가진 BPSG이다. 캐패시터 전극들은, 계속되는 설명에서 명백해지듯, 물질(20) 내에 형성되고, 따라서 물질(20)은 캐패시터 전극 형성 물질로 고려될 수 있다. 제1 물질(20)은 전기적으로 절연성, 전기적으로 도전성 혹은 반도체가 될 수 있으며, 전기적으로 절연성이 되는 것이 가장 바람직하다. 캐패시터 전극 형성 물질(20)은 도 1에 도시된 것처럼, 단일의 균질층을 포함하거나, 비균질층(예를 들어, 서로 다른 도펀트 레벨들을 가진 두 개 혹은 그 이상의 BPSG층)이 될 수 있거나, 또한 단지 예로서, 다수의 분리층(discrete layers)을 포함할 수 있다. 예를 들어, 단지 예로서, 도 2는 선택적 실시예의 기판 단편(10a)를 나타낸다. 기술된 제1 실시예와 유사한 참조번호들이 적절할 경우 사용되나, 접미사 "a" 혹은 다른 참조번호들로 표시된다는 점에서 차이가 있다. 도 2는 캐패시터 전극 형성 물질/제1 물질(20a)을 적어도 두 층(22 및 24)을 포함하는 것으로서 나타낸다. 단지 예로서, 층(22)은 에칭 스톱층(즉, 질화 실리콘, 산화 알루미늄 등)을 포함할 수 있고, 층(24)은 BPSG를 포함할 수 있다.
도 3~5에 따르면, 이격된 다수의 마스킹 블럭(masking blocks)(25, 26, 27, 28, 29, 30, 31, 32 및 33)은 제1 물질(20) 위에 형성된다. 그것은 각각의 캐패시터 전극 개구부 윤곽들(25b, 26b, 27b, 28b, 29b, 30b, 31b, 32b 및 33b)을 정의한다. 단지 예로서, 도시된 마스킹 블럭들이 그들의 대응하는 윤곽들을 갖도록 형성하는 바람직한 방법은 포토리소그래픽 패터닝 및 에칭에 의한 것이다. 마스킹 블럭들(25~33)은 제1 물질(20)의 조성과 동일하거나 서로 다를 수 있으며, 상이한 조성이 보다 바람직하다. 예를 들어, 동일한 조성으로 형성되는 경우, 하부 물질(20)에 대해 마스킹 블럭들(28)을 형성하는 하나의 예시적인 방법은, 마스크에 형성된 개구부들, 예를 들어 포토마스크에 형성된 개구부들을 통해 제1 물질에 대한 시한적 에칭(timed etch)을 하는 것이다. 또한 단지 예로서, 에칭 스톱층(etch stop layer)이 마스킹 블럭들(25~33)과 하부의 제1 물질의 중간에 수용될 수 있다. 예를 들어, 단지 예로서, 도 2의 실시예에 대하여, 마스킹 블럭들(25~33)과 하부의 제1 물질(24) 중간에 제공된 에칭 스톱층을 구성하도록 층(22)이 제공된다(도시되지 않음). 예시적으로 도시된 마스킹 블럭들(25~33)의 어레이 패턴은 단지 예시적이며, 본질적으로 임의의 다른 기존의 어레이 패턴 또는 아직 개발되고 있는 어레이 패턴도 고려될 수 있다. 도시된 예시적인 실시예에서 단지 예로서, 행(row)에서 바로 인접하는 마스킹 블럭들 사이(즉, 마스킹 블럭들(28)의 우측 가장자리와 마스킹 블럭들(29)의 좌측 가장자리 사이)의 예시적 간격은 500Å이다. 열(column)에서 바로 인접하는 마스킹 블럭들 사이(즉, 도 3에서의 마스킹 블럭들(26)의 하측 가장자리와 마스킹 블럭(29)의 상측 가장자리 사이)의 예시적인 간격은 500Å이다. 대각선으로 인접한 마스킹 블럭들 사이(즉, 블럭들(31과 29)의 사이)의 예시적인 유사한 대각선 간격은 750Å이다.
도 6 및 7에 따르면, 제2 물질(36)층은 마스킹 블럭들(25~33) 위 및 마스킹 블럭들(25~33) 사이에 수용된 제1 물질(20) 위에 퇴적된다. 일 양상에서, 제2 물질(36)은 마스킹 블럭층들(25~33)의 조성과 다른 조성을 가진다. 단지 예로서, 물질(20)이 BPSG이고, 마스킹 블럭들(25~33)이 BPSG 또는 도핑되지 않은 실리콘 이산화물인 경우, 층(36)에 대한 예시적인 바람직한 물질들은 질화 실리콘, 산화 알루미늄 및 산화 하프늄을 포함한다. 물론, 다른 절연 물질, 및 심지어 도전성 물질 및 반도체 물질들이 물질(36)로 사용될 수 있다. 예시적 반도체 물질은 다결정 실리콘을 포함한다. 전기적으로 도전체인 예시적인 물질들은 질화 티타늄, 질화 탄탈늄 및 텅스텐을 포함한다. 층(36)에 대한 예시적 퇴적 두께는 250Å에서 300Å이다.
도 8~10에 따르면, 제2 물질층(36)이 이방성으로 에칭되어 마스킹 블럭들(25~33)을 노출시키고, 도시된 마스킹 블럭들(25~33)의 측벽들에 대해 상호접속된 유지 구조(40)를 형성한다. 또한, 상호접속된 유지 구조(40)는 도시된 예시적 실시예에서 나타낸 마스킹 블럭들 사이에 수용된 제1 물질(20) 중 일부를 노출시킨다. 단지 예로서, 그러한 노출된 제1 물질(20)은, 비록 다른 위치의 개구부들도 고려되고, 마스킹 블럭들의 어레이 패터닝에 의존적일 수 있더라도, 대각선으로 인 접한 마스킹 블럭들 사이에 있다. 또한, 도시된 바람직한 실시예에서, 유지 구조(40)는 도시된 마스킹 블럭들의 측벽들과 직접 접촉한다. 도시된 가장 바람직한 실시예에서, 유지 구조(40)를 형성하도록 물질층(36)이 캐패시터 어레이부(14) 내의 어디에서도 마스킹되지 않고 에칭됨으로써 유지 구조(40)가 적어도 부분적으로 형성된다. 또한, 하나의 예시적인 바람직한 실시예에서, 임의의 물질층(36)이 유지 구조를 형성하기 위해 기판 상의 어디에서도 마스킹되는 일없이 구조(40)가 형성될 수 있다. 예를 들어, 단지 예로서, 도 9 및 10은 주변 회로부(16) 내에서 마스킹이 되지 않아서 모든 물질(36)이 제거되는 것을 나타낸다. 물론 선택적으로, 주변부(16) 위에 물질층(36)이 수용되거나 수용되도록 연장되면, 이방성 에칭 동안 그 주변부 내에서 적어도 부분적으로 마스킹될 수 있어서, 적어도 일부가 에칭 이후에 남아있는다(도시되지 않음).
도 11~13에 따르면, 마스킹 블럭들(25~33) 사이에 수용된 노출된 제1 물질 (20)은 제3 물질(44)로 마스킹된다. 일 양상에서, 제3 물질(44)은 제1 물질, 마스킹 블럭들(25~33) 및 제2 물질(36)과는 다른 조성을 갖는다. 물질(20)이 BPSG인 경우, 마스킹 블럭들(25~33)이 도핑되거나 혹은 비도핑된 실리콘 이산화물을 포함하는 경우, 및 물질층(36)이 질화 실리콘을 포함하는 경우, 예시적 물질(44)은 다결정 실리콘이다. 그럼에도 불구하고, 도 11~13 구성을 형성하기 위한 하나의 예시적인 바람직한 기술은, 물질(44)을 퇴적한 후 화학적-기계적 연마를 행하여 마스킹 블럭들(25~33)을 노출하는 것이다. 도 12 및 13은, 비록 물질(44)가 바람직한 프로세싱의 관점에서 주변부(16) 내에서 선택적으로 완전하게 제거된다 하더라도, 주변 회로부(16) 내에 남아있는 일부 잔존 물질(44)을 나타낸다. 또한, 하나의 예시적 구현에서, 물질(25~33), 물질(36 및 44) 중 적어도 하나는 비정질(amorphous) 탄소를 포함하고, 다른 구현에서는 다결정 실리콘을 포함한다. 또한 일 양상에서, 물질(25~33), 물질(36) 및 물질(44) 중 적어도 하나는 비정질 탄소를 포함하고, 그러한 물질들 중 적어도 다른 하나는 다결정 실리콘을 포함한다.
도 14 및 15에 따르면, 마스킹 블럭들(25~33)을 에칭한 후, 제2 물질(36) 및 제3 물질(44)에 대해 그 아래의 제1 물질(20)을 실질적으로 선택 (이방성) 에칭하여 캐패시터 전극 개구부들(25c, 26c, 27c, 28c, 29c, 30c, 31c, 32c 및 33c)을 형성한다. (개구부들(25c, 26c, 27c, 30c, 32c 및 33c)은 14 및 15에 도시되지 않았지만 후속 도면들에서 그렇게 표시되어 나타난다) 본 명세서의 내용에서, 실질적으로 선택적인 에칭은, 제거된 물질 대 언급한 다른 물질(들)의 제거 비율이 적어도 15:1의 비율일 것을 요구한다. 제3 물질(44)이 주변 회로부(16) 내에서 마스킹 물질(20)을 남기는 도시된 예에서, 물질(20)은 그러한 주변부 내에 남아있다. 만일 마스킹 물질(44)이 그러한 주변부의 물질(20) 위에 수용되지 않는다면, 주변 회로부의 그러한 모든 물질(20)은 이러한 프로세싱의 관점에서 제거될 것이다.
도 16~18에 따르면, 각각의 캐패시터 전극들(25d, 26d, 27d, 28d, 29d, 30d, 31d, 32d 및 33d)은 각각의 캐패시터 전극 개구부들 내에서 상호접속된 유지 구조(40)에 대해 형성된다. 단지 예로서, 이를 형성하는 예시적으로 바람직한 방법은 질화 티타늄층을 적절한 두께로 퇴적한 후, 화학적-기계적 연마를 행하는 것이다. 도시된 바람직한 예시적인 실시예들에서, 캐패시터 전극들이 형성되는 층이 각각의 캐패시터 전극 개구부들을 완전히 채우는 것보다 적게 퇴적되어 결과적인 각 캐패시터 전극들이 컨테이너 형상을 포함한다. 물론, 단지 예로서 캐패시터 전극들의 형성을 위한 도전 물질로 캐패시터 전극 개구부들을 완전히 플러깅(plugging)을 하는 방법을 포함하여, 다른 전극 형상들이 고려될 수 있다.
도 19 및 20에 따르면, 제3 물질(44)(도시되지 않음)이 제2 물질(36)에 대해 실질적으로 선택 에칭되고, 캐패시터 전극들(25d~33d)에 대해 실질적으로 선택 에칭되어, 에칭된 제3 물질 아래의 제1 물질(20)을 노출시킨다.
도 21 및 22에 따르면, 제3 물질(44)에 대한 그러한 에칭 이후, 노출된 제1 물질(20) 중 적어도 일부분이 캐패시터 전극들(25d~33d)에 대해 실질적으로 선택 에칭되고 제2 물질(36)에 대해 실질적으로 선택 에칭되어, 캐패시터 전극들(25d~33d)의 외측 측면 측벽들을 노출시키고 캐패시터 전극들(25d~33d)을 적어도 일부 지지하는 상호접속된 유지 구조(40)의 제2 물질(36) 중 적어도 일부를 남긴다. 도시된 바람직한 실시예에서, 그러한 에칭은 제1 물질(20)의 거의 전부에 대해 이루어져서, 캐패시터 전극들의 외측 측면 측벽들 거의 전부가 노출된다.
본 발명의 일 구현은 제3 물질(44)의 퇴적 전에 유지 구조(40)의 물질(36)의 적어도 일부분을 에칭하는 것을 고려한다. 그것은, 선택적인 실시예의 기판 단편 (10g)에 대하여 도 25에 단지 예로서 도시된다. 제1 실시예의 참조번호들과 유사한 참조번호들이 적절하게 사용되었으나, 접미어 "g"가 사용된다는 점에 차이가 있다. 도 25는, 노출된 물질(20)의 공간을 열 정도로 유지 구조(40g)에 대해 소정의 에칭이 발생했다는 것을 나타낸다. 예를 들어, 도시된 점선들은 제1 실시예에 도시된 초기 개구부들을 나타내며, 그 주변의 실선의 윤곽선들은 물질(36)의 적절한 예시적인 습식 에칭 또는 적절한 예시적인 파셋 에칭(facet etch)에 의해 생성된 확장을 나타낸다. 단지 예로서, 예를 들어, 물질(36g)이 질화 실리콘을 포함하는 경우, 도 25 구조를 만들기 위한 예시적인 습식 에칭의 화학조성은 인산(phosphoric acid)을 포함한다. 예시적 파셋 에칭 기술은 100W 내지 1000W의 RF 전력 및 25℃ 내지 100℃의 아르곤(Ar) 플라즈마를 포함한다.
도 23 및 24에 따르면, 캐패시터 유전체 물질(50) 및 캐패시터 전극 물질(60)은 캐패시터 전극들의 외측 측면 측벽들 중 적어도 일부분 위의 유지 구조(40)의 적어도 아래에 도시된 바와 같이 퇴적된다. 임의의 적절한 기존의 혹은 아직 개발중인 물질들도 고려된다. 도시된 예시적 실시예에서, 캐패시터 전극 물질(60)은 다수의 캐패시터 사이에 공통의 캐패시터 전극을 구성하는 것으로 도시된다. 물론 선택적으로 단지 예로서, 그러한 것은 패턴화되어 있거나 혹은 그렇지 않으면 각 캐패시터 혹은 캐패시터들의 그룹에 대한 개별적인 캐패시터 전극을 구성하도록 형성된다. 도시된 바람직한 실시예에서, 유지 구조(40)는 다수의 캐패시터를 포함하는 최종의 집적 회로 구성의 일부분으로서 남아있다.
일 양상에서, 본 발명의 구현은, 캐패시터 전극 형성 물질 위에 상이한 조성의 제1, 제2 및 제3 물질들을 형성하는 것을 포함하는, 다수의 캐패시터 형성 방법으로서 생각될 수 있다. 단지 예로서, 마스킹 블럭들(25~33)의 물질이 예시적인 제1 물질을 구성하고, 물질(36)은 예시적인 제2 물질을 구성하고, 물질(44)이 예시적인 제3 물질을 구성하고, 이러한 모든 것은 예시적인 캐패시터 전극 형성 물 질(20) 위에 수용된다. 제1, 제2 및 제3 물질들은 캐패시터 전극 형성 물질 위에 적어도 부분적으로 소정의 공통 높이로 수용된다. 단지 예로서, 도 12는 그러한 예시적인 높이 "H"를 나타낸다. 제2 물질은 이방성으로 에칭된 유지 구조를 포함한다.
그러한 제1 물질이 제2 및 제3 물질들에 대해 실질적으로 선택 에칭된 후, 캐패시터 전극 형성 물질이 제2 및 제3 물질들에 대해 실질적으로 선택 에칭되어 다수의 캐패시터 전극 개구부를 형성한다. 단지 예로서, 도면들에 도시된 상기 프로세싱은 단지 하나의 예시적 기술이다. 각각의 캐패시터 전극들은 각 캐패시터 전극 개구부들 내에 형성된다.
그 후, 제3 물질이 제2 물질에 대해 실질적으로 선택 에칭되고, 캐패시터 전극들에 대해 실질적으로 선택 에칭되어, 에칭된/에칭되고 있는 제3 물질 하부의 캐패시터 전극 형성 물질을 노출시킨다. 이어서, 캐패시터 전극 형성 물질을 제2 물질에 대해 실질적으로 선택 에칭하고, 캐패시터 전극들에 대해 실질적으로 선택 에칭하여 캐패시터 전극들의 외측 측면 측벽들을 노출시킨다. 캐패시터 전극 형성 물질의 일부분만 혹은 전체가 에칭될 수 있다. 그럼에도 불구하고, 그러한 에칭은 다수의 캐패시터 전극을 적어도 부분적으로 지지하는 유지 구조 중 적어도 일부분을 남긴다. 다수의 캐패시터 전극은 다수의 캐패시터에 포함된다.
본 발명의 일 양상에 대한 일 구현은, 다수의 캐패시터를 형성하는 방법을 포함하여, 다수의 캐패시터 전극이 기판 위의 캐패시터 어레이부 내에 제공되고, 캐패시터 전극들이 외측 측면 측벽들을 포함한다. 그러한 방법은, 외측 측면 측벽 들에 접촉하는 유지 구조를 이용하여 다수의 캐패시터 전극을 적어도 일부 지지하는 것을 포함한다. 유지 구조는 그러한 유지 구조를 형성하기 위해 캐패시터 어레이부 내 어느 곳도 가리지 않는 물질층을 에칭함으로써 적어도 부분적으로 형성된다. 다수의 캐패시터 전극을 제공하고, 이들을 상술된 유지 구조를 이용하여 지지하는 것에 대한 상술된 바람직한 프로세싱은, 언급한 바대로, 이러한 구현의 단지 하나의 예시적인 실시예일 뿐이다. 예를 들어, 상술한 바와 같이 단지 예로서, 다수의 캐패시터 전극이 다수의 캐패시터에 포함된다. 상기 기술된 예시적인 실시예에서, 유지 구조를 형성하기 위한 에칭은 다수의 캐패시터 전극 형성 이전에 행해진다. 그러나, 본 발명의 일 양상은 다수의 캐패시터 전극 형성 이후에 유지 구조를 형성하기 위한 에칭을 고려한다.
법령에 따라, 본 발명은 구조적 및 방법적 특징들에 대해 다소 구체적인 언어로 기술되었다. 그러나, 본원에 개시된 수단이 본 발명을 구현하는 바람직한 형태를 포함하는 것이기 때문에, 본 발명이 도시되고 기술된 특정한 특징들로 한정되지 않는다는 것을 이해할 것이다. 그러므로, 본 발명은 균등론에 입각하여 적절하게 해석된 첨부의 청구범위의 적절한 범위에 있는 임의의 형태들 또는 수정들로 청구된다.

Claims (57)

  1. 다수의 캐패시터를 형성하는 방법에 있어서,
    기판 위의 캐패시터 어레이부 내에 다수의 캐패시터 전극을 제공하는 단계 - 상기 캐패시터 전극들은 외측 측면 측벽들을 포함함 - ;
    상기 캐패시터 전극들의 상기 외측 측면 측벽들에 접하는 유지 구조를 형성하는 단계 - 상기 유지 구조는 상기 유지 구조를 형성하기 위해 상기 캐패시터 어레이부 내의 어느 곳에서도 마스킹되지 않는 물질층을 에칭함으로써 적어도 부분적으로 형성됨 - ;
    상기 유지 구조의 형성 후에, 상기 유지 구조를 에칭하여 상기 유지 구조의 크기를 줄이는 단계 - 상기 에칭된 유지 구조는 적어도 부분적으로 상기 다수의 캐패시터 전극을 지지함 - ; 및
    상기 다수의 캐패시터 전극을 다수의 캐패시터에 포함시키는 단계
    를 포함하는 다수의 캐패시터 형성 방법.
  2. 청구항 2은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서,
    상기 물질층의 에칭은 상기 다수의 캐패시터 전극의 형성 이전에 행해지는 다수의 캐패시터 형성 방법.
  3. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서,
    상기 물질층의 에칭은 상기 다수의 캐패시터 전극의 형성 이후에 행해지는 다수의 캐패시터 형성 방법.
  4. 청구항 4은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서,
    상기 캐패시터 어레이부 주변의 회로부를 포함하고, 상기 물질층은 상기 물질층의 에칭 동안 상기 주변부 위로 연장되고 또한 상기 물질층의 에칭 동안 상기 주변부에서 적어도 부분적으로 마스킹되는 다수의 캐패시터 형성 방법.
  5. 제1항에 있어서,
    상기 물질층은 상기 물질층의 에칭 동안 상기 기판 위의 어느 곳에서도 마스킹되지 않는 다수의 캐패시터 형성 방법.
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서,
    상기 캐패시터 전극들 각각은 컨테이너(container) 형상을 포함하는 다수의 캐패시터 형성 방법.
  7. 청구항 7은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서,
    상기 유지 구조는 상기 다수의 캐패시터를 포함하는 최종 집적 회로 구성의 일부로서 남아있는 다수의 캐패시터 형성 방법.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서,
    상기 물질은 전기적으로 절연성인 다수의 캐패시터 형성 방법.
  9. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.
    상기 물질은 전기적으로 도전성인 다수의 캐패시터 형성 방법.
  10. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서,
    상기 물질은 반도체인 다수의 캐패시터 형성 방법.
  11. 다수의 캐패시터를 형성하는 방법에 있어서,
    기판 위에 다수의 캐패시터 전극을 제공하는 단계 - 상기 캐패시터 전극들은 외측 측면 측벽들을 포함함 - ;
    상기 캐패시터 전극들의 상기 외측 측면 측벽들에 접하는 유지 구조를 형성하는 단계 - 상기 유지 구조는 상기 유지 구조를 형성하기 위해 상기 기판 내의 어느 곳에서도 마스킹되지 않는 물질층을 에칭함으로써 적어도 부분적으로 형성됨 - ;
    상기 유지 구조의 형성 후에, 상기 유지 구조를 에칭하여 상기 유지 구조의 크기를 줄이는 단계 - 상기 에칭된 유지 구조는 적어도 부분적으로 상기 다수의 캐패시터 전극을 지지함 - ; 및
    상기 다수의 캐패시터 전극을 다수의 캐패시터에 포함시키는 단계
    를 포함하는 다수의 캐패시터 형성 방법.
  12. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.
    제11항에 있어서,
    상기 캐패시터 전극들 각각은 컨테이너 형상을 포함하는 다수의 캐패시터 형성 방법.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제11항에 있어서,
    상기 유지 구조는, 상기 다수의 캐패시터를 포함하는 최종 집적 회로 구성의 일부로서 남아있는 다수의 캐패시터 형성 방법.
  14. 제11항에 있어서,
    상기 물질은 전기적으로 절연성인 다수의 캐패시터 형성 방법.
  15. 제11항에 있어서,
    상기 물질은 전기적으로 도전성인 다수의 캐패시터 형성 방법.
  16. 제11항에 있어서,
    상기 물질은 반도체인 다수의 캐패시터 형성 방법.
  17. 제11항에 있어서,
    상기 물질층의 에칭은 상기 다수의 캐패시터 전극의 형성 이전에 행해지는 다수의 캐패시터 형성 방법.
  18. 제11항에 있어서,
    상기 물질층의 에칭은 상기 다수의 캐패시터 전극의 형성 이후에 행해지는 다수의 캐패시터 형성 방법.
  19. 다수의 캐패시터를 형성하는 방법에 있어서,
    캐패시터 전극 형성 물질 위에 상이한 조성의 제1, 제2 및 제3 물질들을 형성하는 단계 - 상기 제1, 제2 및 3 물질들은 상기 캐패시터 전극 형성 물질 위에 소정의 공통 높이(some common elevation)에서 적어도 부분적으로 수용되고, 상기 제2 물질은 이방성으로 에칭된 유지 구조를 포함함 - ;
    상기 제1 물질을 상기 제2 및 제3 물질들에 대해 실질적으로 선택 에칭한 후, 상기 캐패시터 전극 형성 물질을 상기 제2 및 제3 물질들에 대해 실질적으로 선택 에칭하여 다수의 캐패시터 전극 개구부를 형성하는 단계;
    각각의 상기 캐패시터 전극 개구부들 내에 개개의 캐패시터 전극들을 형성하는 단계;
    상기 제3 물질을 상기 제2 물질에 대해 실질적으로 선택 에칭하고 상기 캐패시터 전극들에 대해 실질적으로 선택 에칭하여 에칭되는 상기 제3 물질 하부의 캐패시터 전극 형성 물질을 노출시키고, 이후에, 상기 캐패시터 전극 형성 물질을 상기 제2 물질에 대해 실질적으로 선택 에칭하고 상기 캐패시터 전극들에 대해 실질적으로 선택 에칭하여 상기 캐패시터 전극의 외측 측면 측벽들을 노출시키고 상기 캐패시터 전극들을 지지하는 상기 유지 구조의 적어도 일부를 남기는 단계; 및
    상기 다수의 캐패시터 전극을 다수의 캐패시터에 포함시키는 단계
    를 포함하는 다수의 캐패시터 형성 방법.
  20. 제19항에 있어서,
    상기 제1 물질은 상기 캐패시터 전극 형성 물질과 조성이 상이한 다수의 캐패시터 형성 방법.
  21. 제19항에 있어서,
    상기 제1 물질은 상기 캐패시터 전극 형성 물질과 조성이 동일한 다수의 캐패시터 형성 방법.
  22. 제19항에 있어서,
    상기 캐패시터 전극 형성 물질은 적어도 두 개의 층들을 포함하고, 상기 두 개의 층들 중 하나는 상기 제1, 제2 및 제3 물질들과 가깝게 수용되는 에칭 스톱층을 포함하는 다수의 캐패시터 형성 방법.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제19항에 있어서,
    상기 제1 물질은 상기 제2 물질 이전에 형성되고, 상기 제2 물질은 상기 제3 물질 이전에 형성되며,
    상기 제3 물질을 형성하기 이전에 상기 유지 구조를 파셋 에칭(facet etching)하는 단계를 더 포함하는 다수의 캐패시터 형성 방법.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제19항에 있어서,
    상기 제3 물질을 형성하기 이전에 상기 유지 구조를 습식 에칭하는 단계를 더 포함하는 다수의 캐패시터 형성 방법.
  25. 청구항 25은(는) 설정등록료 납부시 포기되었습니다.
    제19항에 있어서,
    상기 캐패시터 전극들 각각은 컨테이너 형상을 포함하는 다수의 캐패시터 형성 방법.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제19항에 있어서,
    상기 캐패시터 전극 개구부들은 기판 위의 캐패시터 어레이부 내에 형성되고, 상기 기판은 상기 캐패시터 어레이부 주변의 회로부를 포함하고, 상기 유지 구조를 형성하기 위한 상기 제2 물질의 이방성 에칭은, 상기 유지 구조를 형성하기 위해 상기 캐패시터 어레이부 내에서 상기 제2 물질을 마스킹하지 않고 행해지는 다수의 캐패시터 형성 방법.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제26항에 있어서,
    상기 유지 구조를 형성하기 위한 상기 제2 물질의 이방성 에칭은, 상기 유지 구조를 형성하기 위해 상기 기판 위의 어느 곳에서도 상기 제2 물질을 마스킹하지 않고 행해지는 다수의 캐패시터 형성 방법.
  28. 청구항 28은(는) 설정등록료 납부시 포기되었습니다.
    제19항에 있어서,
    상기 유지 구조는 상기 다수의 캐패시터를 포함하는 최종 집적 회로 구성의 일부로서 남아있는 다수의 캐패시터 형성 방법.
  29. 제19항에 있어서,
    상기 제2 물질은 전기적으로 절연성인 다수의 캐패시터 형성 방법.
  30. 제19항에 있어서,
    상기 제2 물질은 전기적으로 도전성인 다수의 캐패시터 형성 방법.
  31. 제19항에 있어서,
    상기 제2 물질은 반도체인 다수의 캐패시터 형성 방법.
  32. 다수의 캐패시터를 형성하는 방법에 있어서,
    제1 물질 위에 다수의 이격된 마스킹 블럭을 형성하는 단계 - 상기 마스킹 블럭들은 그 하부의 각 캐패시터 전극 개구부 윤곽들을 정의함 - ;
    상기 마스킹 블럭들 사이에 수용된 제1 물질 위 및 상기 마스킹 블럭들 위에 제2 물질층을 퇴적하는 단계 - 상기 제2 물질은 상기 마스킹 블럭들과 조성이 상이함 - ;
    상기 제2 물질층을 이방성 에칭하여 상기 마스킹 블럭들을 노출시키고 상기 마스킹 블럭들의 측벽들에 대해 상호접속된 유지 구조를 형성하는 단계 - 상기 상호접속된 유지 구조는 상기 마스킹 블럭들 사이에 수용된 상기 제1 물질 중 일부를 노출시킴 - ;
    상기 이방성 에칭 이후, 상기 마스킹 블럭들 사이에 수용되는 상기 노출된 제1 물질을 제3 물질을 이용하여 마스킹하는 단계 - 상기 제3 물질은 상기 제1 물질, 상기 마스킹 블럭들 및 상기 제2 물질과 조성이 상이함 - ;
    상기 마스킹 블럭들을 에칭한 후, 그 아래의 상기 제1 물질을 상기 제2 및 제3 물질들에 대해 실질적으로 선택 에칭하여 상기 제1 물질 내에 캐패시터 전극 개구부들을 형성하는 단계;
    상기 캐패시터 전극 개구부들 각각의 내부 및 상기 상호접속된 유지 구조에 대해 각 캐패시터 전극들을 형성하는 단계;
    상기 제2 물질에 대해 상기 제3 물질을 실질적으로 선택 에칭하고, 상기 캐패시터 전극들에 대해 실질적으로 선택 에칭하여 상기 에칭된 제3 물질 아래의 제1 물질을 노출하는 단계;
    상기 제3 물질의 에칭 이후, 상기 노출된 제1 물질의 적어도 일부를 상기 캐패시터 전극들에 대해 실질적으로 선택 에칭하고 상기 제2 물질에 대해 실질적으로 선택 에칭하여 상기 캐패시터 전극들의 외측 측면 측벽들을 노출시키고 상기 캐패시터 전극들 적어도 일부를 지지하는 상기 상호접속된 유지 구조의 상기 제2 물질의 적어도 일부를 남기는 단계; 및
    상기 제1 물질을 에칭하여 상기 캐패시터 전극들의 외측 측면 측벽들을 노출시킨 후, 상기 외측 측면 측벽들 중 적어도 일부분 위에 상기 유지 구조 아래에 캐패시터 유전체 물질 및 캐패시터 전극 물질을 퇴적하는 단계
    를 포함하는 다수의 캐패시터 형성 방법.
  33. 제32항에 있어서,
    상기 마스킹 블럭들은 상기 제1 물질과 조성이 상이한 다수의 캐패시터 형성 방법.
  34. 제32항에 있어서,
    상기 마스킹 블럭들은 상기 제1 물질과 조성이 동일한 다수의 캐패시터 형성 방법.
  35. 제34항에 있어서,
    상기 마스킹 블럭들은 마스크 개구부들을 통한 상기 제1 물질의 시한적 에칭(timed etch)에 의해 형성되는 다수의 캐패시터 형성 방법.
  36. 청구항 36은(는) 설정등록료 납부시 포기되었습니다.
    제35항에 있어서,
    상기 시한적 에칭은 포토마스크에 형성된 개구부들을 통해 행해지는 다수의 캐패시터 형성 방법.
  37. 청구항 37은(는) 설정등록료 납부시 포기되었습니다.
    제32항에 있어서,
    상기 마스킹 블럭들과 상기 제1 물질 중간에 수용되는 에칭 스톱층을 포함하는 다수의 캐패시터 형성 방법.
  38. 청구항 38은(는) 설정등록료 납부시 포기되었습니다.
    제32항에 있어서,
    상기 캐패시터 전극 개구부들은 기판 위의 캐패시터 어레이부 내에 형성되고, 상기 기판은 상기 캐패시터 어레이부 주변의 회로부를 포함하고, 상기 유지 구조를 형성하기 위한 상기 제2 물질층에 대한 상기 이방성 에칭은, 상기 유지 구조를 형성하기 위해 상기 캐패시터 어레이부 내에서 상기 제2 물질층을 마스킹하지 않고 행해지는 다수의 캐패시터 형성 방법.
  39. 청구항 39은(는) 설정등록료 납부시 포기되었습니다.
    제38항에 있어서,
    상기 유지 구조를 형성하기 위한 상기 제2 물질층의 상기 이방성 에칭은, 상기 유지 구조를 형성하기 위해 상기 기판 위의 어느 곳에서도 상기 제2 물질층을 마스킹하지 않고 행해지는 다수의 캐패시터 형성 방법.
  40. 청구항 40은(는) 설정등록료 납부시 포기되었습니다.
    제32항에 있어서,
    상기 캐패시터 전극들 각각은 컨테이너 형상을 포함하는 다수의 캐패시터 형성 방법.
  41. 청구항 41은(는) 설정등록료 납부시 포기되었습니다.
    제32항에 있어서,
    상기 유지 구조는 상기 다수의 캐패시터를 포함하는 최종 집적 회로 구성의 일부로서 남아있는 다수의 캐패시터 형성 방법.
  42. 제32항에 있어서,
    상기 제1, 제2 및 제3 물질들 중 적어도 하나는 비정질(amorphous) 탄소를 포함하는 다수의 캐패시터 형성 방법.
  43. 제32항에 있어서,
    상기 제1, 제2 및 제3 물질들 중 적어도 하나는 다결정 실리콘을 포함하는 다수의 캐패시터 형성 방법.
  44. 제32항에 있어서,
    상기 제1, 제2 및 제3 물질들 중 적어도 하나는 비정질 탄소를 포함하고, 상기 제1, 제2 및 제3 물질들 중 적어도 다른 하나는 다결정 실리콘을 포함하는 다수의 캐패시터 형성 방법.
  45. 청구항 45은(는) 설정등록료 납부시 포기되었습니다.
    제32항에 있어서,
    상기 제3 물질을 형성하기 이전에 상기 유지 구조를 파셋 에칭하는 단계를 더 포함하는 다수의 캐패시터 형성 방법.
  46. 청구항 46은(는) 설정등록료 납부시 포기되었습니다.
    제32항에 있어서,
    상기 제3 물질을 형성하기 이전에 상기 유지 구조를 습식 에칭하는 단계를 포함하는 다수의 캐패시터 형성 방법.
  47. 제1항에 있어서,
    상기 유지 구조를 에칭하는 단계는 파셋 에칭하는 단계를 포함하는 다수의 캐패시터 형성 방법.
  48. 제1항에 있어서,
    상기 유지 구조를 에칭하는 단계는 습식 에칭하는 단계를 포함하는 다수의 캐패시터 형성 방법.
  49. 다수의 캐패시터를 형성하는 방법에 있어서,
    캐패시터 전극 형성 물질 위에 상이한 조성의 제1, 제2 및 제3 물질들을 형성하는 단계 - 상기 제1, 제2 및 3 물질들은 상기 캐패시터 전극 형성 물질 위에 소정의 공통 높이(some common elevation)에서 적어도 부분적으로 수용되고, 상기 제2 물질은 유지 구조를 포함함 - ;
    상기 제1 물질을 상기 제2 및 제3 물질들에 대해 실질적으로 선택 에칭한 후, 상기 캐패시터 전극 형성 물질을 상기 제2 및 제3 물질들에 대해 실질적으로 선택 에칭하여 다수의 캐패시터 전극 개구부를 형성하는 단계;
    각각의 상기 캐패시터 전극 개구부들 내에 개개의 캐패시터 전극들을 형성하는 단계;
    상기 제3 물질을 상기 제2 물질에 대해 실질적으로 선택 에칭하고 상기 캐패시터 전극들에 대해 실질적으로 선택 에칭하여 에칭되는 상기 제3 물질 하부의 캐패시터 전극 형성 물질을 노출시키고, 이후에, 상기 캐패시터 전극 형성 물질을 상기 제2 물질에 대해 실질적으로 선택 에칭하고 상기 캐패시터 전극들에 대해 실질적으로 선택 에칭하여 상기 캐패시터 전극의 외측 측면 측벽들을 노출시키고 상기 캐패시터 전극들을 지지하는 상기 유지 구조의 적어도 일부를 남기는 단계; 및
    상기 다수의 캐패시터 전극을 다수의 캐패시터에 포함시키는 단계
    를 포함하는 다수의 캐패시터 형성 방법.
  50. 제49항에 있어서,
    상기 제1 물질은 상기 제2 물질 이전에 형성되고,
    상기 제2 물질은 상기 제3 물질 이전에 형성되며,
    상기 제3 물질을 형성하기 이전에 상기 유지 구조를 파셋 에칭하는 단계를 더 포함하는 다수의 캐패시터 형성 방법.
  51. 제49항에 있어서,
    상기 제1 물질은 상기 캐패시터 전극 형성 물질과 조성이 상이한 다수의 캐패시터 형성 방법.
  52. 제49항에 있어서,
    상기 제1 물질은 상기 캐패시터 전극 형성 물질과 조성이 동일한 다수의 캐패시터 형성 방법.
  53. 청구항 53은(는) 설정등록료 납부시 포기되었습니다.
    제49항에 있어서,
    상기 캐패시터 전극 형성 물질은 적어도 두 개의 층들을 포함하고, 상기 두 개의 층들 중 하나는 상기 제1, 제2 및 제3 물질들과 가깝게 수용되는 에칭 스톱층을 포함하는 다수의 캐패시터 형성 방법.
  54. 청구항 54은(는) 설정등록료 납부시 포기되었습니다.
    제49항에 있어서,
    상기 제2 물질은 전기적으로 절연성인 다수의 캐패시터 형성 방법.
  55. 청구항 55은(는) 설정등록료 납부시 포기되었습니다.
    제49항에 있어서,
    상기 제2 물질은 전기적으로 도전성인 다수의 캐패시터 형성 방법.
  56. 청구항 56은(는) 설정등록료 납부시 포기되었습니다.
    제49항에 있어서,
    상기 제2 물질은 반도체인 다수의 캐패시터 형성 방법.
  57. 청구항 57은(는) 설정등록료 납부시 포기되었습니다.
    제49항에 있어서,
    상기 캐패시터 전극 개구부들은 기판 위의 캐패시터 어레이부 내에 형성되고, 상기 기판은 상기 캐패시터 어레이부 주변의 회로부를 포함하고, 상기 유지 구조를 형성하기 위한 상기 제2 물질의 이방성 에칭은, 상기 유지 구조를 형성하기 위해 상기 캐패시터 어레이부 내에서 상기 제2 물질을 마스킹하지 않고 행해지는 다수의 캐패시터 형성 방법.
KR1020077021914A 2005-03-18 2007-09-21 다수의 캐패시터를 형성하는 방법 KR100920016B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/083,489 US7557015B2 (en) 2005-03-18 2005-03-18 Methods of forming pluralities of capacitors
US11/083,489 2005-03-18

Publications (2)

Publication Number Publication Date
KR20070104675A KR20070104675A (ko) 2007-10-26
KR100920016B1 true KR100920016B1 (ko) 2009-10-05

Family

ID=36607596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077021914A KR100920016B1 (ko) 2005-03-18 2007-09-21 다수의 캐패시터를 형성하는 방법

Country Status (8)

Country Link
US (2) US7557015B2 (ko)
EP (1) EP1859476B1 (ko)
JP (1) JP5119426B2 (ko)
KR (1) KR100920016B1 (ko)
CN (2) CN101142657B (ko)
SG (1) SG146611A1 (ko)
TW (1) TWI317982B (ko)
WO (1) WO2006101669A1 (ko)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100506944B1 (ko) * 2003-11-03 2005-08-05 삼성전자주식회사 지지층 패턴들을 채택하는 복수개의 커패시터들 및 그제조방법
US7125781B2 (en) * 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
EP1732134B1 (en) * 2004-02-27 2012-10-24 National University Corporation Tohoku Unversity Solid-state imagine device, line sensor, optical sensor, and method for operating solid-state imaging device
US7387939B2 (en) * 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7202127B2 (en) * 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20060046055A1 (en) * 2004-08-30 2006-03-02 Nan Ya Plastics Corporation Superfine fiber containing grey dope dyed component and the fabric made of the same
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
KR100601976B1 (ko) * 2004-12-08 2006-07-18 삼성전자주식회사 스트레인 실리콘 온 인슐레이터 구조체 및 그 제조방법
US20090066641A1 (en) * 2005-03-10 2009-03-12 Motus Corporation Methods and Systems for Interpretation and Processing of Data Streams
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) * 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7666797B2 (en) 2006-08-17 2010-02-23 Micron Technology, Inc. Methods for forming semiconductor constructions, and methods for selectively etching silicon nitride relative to conductive material
US7902081B2 (en) 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
DE102007022748B4 (de) * 2007-05-15 2009-03-05 Qimonda Ag Verfahren zur Strukturierung eines Materials und strukturiertes Material
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7837889B2 (en) * 2007-07-05 2010-11-23 Micron Technology, Inc. Methods of etching nanodots, methods of removing nanodots from substrates, methods of fabricating integrated circuit devices, methods of etching a layer comprising a late transition metal, and methods of removing a layer comprising a late transition metal from a substrate
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009141073A (ja) * 2007-12-05 2009-06-25 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7892937B2 (en) * 2008-10-16 2011-02-22 Micron Technology, Inc. Methods of forming capacitors
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
KR101589912B1 (ko) * 2009-03-20 2016-02-01 삼성전자주식회사 커패시터 및 이의 제조 방법
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8395880B2 (en) 2010-03-30 2013-03-12 Medtronic, Inc. High density capacitor array patterns
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
KR101800419B1 (ko) 2011-03-14 2017-11-23 삼성전자주식회사 반도체 소자 및 그 제조방법
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9675808B2 (en) 2011-09-27 2017-06-13 Medtronic, Inc. Battery and capacitor arrangement for an implantable medical device
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
KR101895460B1 (ko) 2012-03-23 2018-09-05 삼성전자주식회사 커패시터 구조물 및 이의 형성 방법
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
US10388461B2 (en) 2017-08-02 2019-08-20 Perriquest Defense Research Enterprises, Llc Capacitor arrangements
US11476262B2 (en) * 2020-07-28 2022-10-18 Micron Technology, Inc. Methods of forming an array of capacitors

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030058018A (ko) * 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 메모리 소자의 캐패시터 제조방법
KR20050000896A (ko) * 2003-06-25 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4517729A (en) 1981-07-27 1985-05-21 American Microsystems, Incorporated Method for fabricating MOS device with self-aligned contacts
US5236860A (en) 1991-01-04 1993-08-17 Micron Technology, Inc. Lateral extension stacked capacitor
US5289030A (en) 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5340763A (en) 1993-02-12 1994-08-23 Micron Semiconductor, Inc. Multi-pin stacked capacitor utilizing micro villus patterning in a container cell and method to fabricate same
US5563089A (en) 1994-07-20 1996-10-08 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
US5401681A (en) 1993-02-12 1995-03-28 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells
US5605857A (en) 1993-02-12 1997-02-25 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
US5498562A (en) 1993-04-07 1996-03-12 Micron Technology, Inc. Semiconductor processing methods of forming stacked capacitors
US5784112A (en) 1993-07-02 1998-07-21 Canon Kabushiki Kaisha Encoding apparatus
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
US6133620A (en) 1995-05-26 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and process for fabricating the same
JP2956482B2 (ja) 1994-07-29 1999-10-04 日本電気株式会社 半導体記憶装置及びその製造方法
US6744091B1 (en) 1995-01-31 2004-06-01 Fujitsu Limited Semiconductor storage device with self-aligned opening and method for fabricating the same
US5654222A (en) 1995-05-17 1997-08-05 Micron Technology, Inc. Method for forming a capacitor with electrically interconnected construction
US5981992A (en) 1995-06-07 1999-11-09 International Business Machines Corporation Mechanical supports for very thin stacked capacitor plates
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
US5990021A (en) 1997-12-19 1999-11-23 Micron Technology, Inc. Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
CN1219277A (zh) 1996-05-21 1999-06-09 西门子公司 较高比电容量的多层电容器
JPH1022476A (ja) 1996-07-02 1998-01-23 Sony Corp 容量素子
TW308727B (en) 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
EP0849796A3 (en) 1996-12-17 1999-09-01 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5767561A (en) 1997-05-09 1998-06-16 Lucent Technologies Inc. Integrated circuit device with isolated circuit elements
US6249019B1 (en) 1997-06-27 2001-06-19 Micron Technology, Inc. Container capacitor with increased surface area and method for making same
US6432472B1 (en) 1997-08-15 2002-08-13 Energenius, Inc. Method of making semiconductor supercapacitor system and articles produced therefrom
US6200874B1 (en) 1997-08-22 2001-03-13 Micron Technology, Inc. Methods for use in forming a capacitor
US6198168B1 (en) 1998-01-20 2001-03-06 Micron Technologies, Inc. Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same
US6025225A (en) 1998-01-22 2000-02-15 Micron Technology, Inc. Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same
US5981350A (en) 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
JP4322330B2 (ja) 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4180716B2 (ja) 1998-12-28 2008-11-12 富士通株式会社 半導体装置の製造方法
US6204178B1 (en) 1998-12-29 2001-03-20 Micron Technology, Inc. Nucleation and deposition of PT films using ultraviolet irradiation
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
US6303956B1 (en) 1999-02-26 2001-10-16 Micron Technology, Inc. Conductive container structures having a dielectric cap
US6204143B1 (en) 1999-04-15 2001-03-20 Micron Technology Inc. Method of forming high aspect ratio structures for semiconductor devices
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
US6159818A (en) 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
US6395600B1 (en) 1999-09-02 2002-05-28 Micron Technology, Inc. Method of forming a contact structure and a container capacitor structure
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
JP3595231B2 (ja) 1999-12-28 2004-12-02 株式会社東芝 半導体記憶装置及びその製造方法
KR20010061020A (ko) 1999-12-28 2001-07-07 박종섭 반도체소자의 제조방법
US6475855B1 (en) * 2000-03-01 2002-11-05 Micron Technology, Inc. Method of forming integrated circuitry, method of forming a capacitor and method of forming DRAM integrated circuitry
US6476432B1 (en) 2000-03-23 2002-11-05 Micron Technology, Inc. Structures and methods for enhancing capacitors in integrated circuits
US6372574B1 (en) * 2000-06-02 2002-04-16 Micron Technology, Inc. Method of forming a capacitor container electrode and method of patterning a metal layer by selectively silicizing the electrode or metal layer and removing the silicized portion
KR100338775B1 (ko) 2000-06-20 2002-05-31 윤종용 Dram을 포함하는 반도체 소자의 콘택 구조체 및 그형성방법
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (de) 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10036724A1 (de) 2000-07-27 2002-02-14 Infineon Technologies Ag Verfahren zur Bildung eines Grabens in einem Halbleitersubstrat
US6482749B1 (en) 2000-08-10 2002-11-19 Seh America, Inc. Method for etching a wafer edge using a potassium-based chemical oxidizer in the presence of hydrofluoric acid
US6232168B1 (en) 2000-08-25 2001-05-15 Micron Technology, Inc. Memory circuitry and method of forming memory circuitry
US6787833B1 (en) 2000-08-31 2004-09-07 Micron Technology, Inc. Integrated circuit having a barrier structure
US6509553B2 (en) * 2000-09-05 2003-01-21 A.T.C.T. Advanced Thermal Chips Technologies Ltd. Method and apparatus for providing an indication of the composition of a fluid particularly useful in heat pumps and vaporizers
JP2002094027A (ja) 2000-09-11 2002-03-29 Toshiba Corp 半導体記憶装置とその製造方法
US6621112B2 (en) 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
KR100388682B1 (ko) * 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
KR100422063B1 (ko) 2001-05-02 2004-03-10 삼성전자주식회사 반도체 장치의 캐패시터 및 그 제조방법
KR100431656B1 (ko) 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
EP1306894A1 (en) 2001-10-19 2003-05-02 Infineon Technologies AG A method of forming a silicon dioxide layer on a curved Si surface
JP4060572B2 (ja) 2001-11-06 2008-03-12 株式会社東芝 半導体記憶装置及びその製造方法
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
KR100487519B1 (ko) 2002-02-05 2005-05-03 삼성전자주식회사 반도체 장치의 커패시터 및 그 제조 방법
KR100423900B1 (ko) 2002-02-08 2004-03-22 삼성전자주식회사 반도체 장치의 커패시터 형성 방법
US6617222B1 (en) 2002-02-27 2003-09-09 Micron Technology, Inc. Selective hemispherical silicon grain (HSG) conversion inhibitor for use during the manufacture of a semiconductor device
US6515325B1 (en) * 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
JP4064695B2 (ja) 2002-03-19 2008-03-19 富士通株式会社 半導体装置の製造方法
KR100459707B1 (ko) * 2002-03-21 2004-12-04 삼성전자주식회사 실린더형 커패시터를 포함하는 반도체 소자 및 그 제조 방법
KR100473113B1 (ko) * 2002-04-04 2005-03-08 삼성전자주식회사 반도체 장치의 커패시터 제조 방법
JP4047631B2 (ja) 2002-05-28 2008-02-13 エルピーダメモリ株式会社 王冠構造のキャパシタを有する半導体集積回路装置およびその製造方法
US6784479B2 (en) * 2002-06-05 2004-08-31 Samsung Electronics Co., Ltd. Multi-layer integrated circuit capacitor electrodes
KR100475272B1 (ko) 2002-06-29 2005-03-10 주식회사 하이닉스반도체 반도체소자 제조방법
JP4353685B2 (ja) 2002-09-18 2009-10-28 株式会社ルネサステクノロジ 半導体装置
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
DE10259331B4 (de) 2002-12-18 2005-02-10 Infineon Technologies Ag Herstellungsverfahren für eine Photomaske für eine integrierte Schaltung und entsprechende Photomaske
JP4502173B2 (ja) * 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
US6720232B1 (en) 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
JP2005032982A (ja) 2003-07-14 2005-02-03 Renesas Technology Corp 半導体装置
US7440255B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Capacitor constructions and methods of forming
KR100538098B1 (ko) * 2003-08-18 2005-12-21 삼성전자주식회사 개선된 구조적 안정성 및 향상된 캐패시턴스를 갖는캐패시터를 포함하는 반도체 장치 및 그 제조 방법
US6784069B1 (en) 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) * 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) * 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
DE10344814B3 (de) * 2003-09-26 2005-07-14 Infineon Technologies Ag Speichervorrichtung zur Speicherung elektrischer Ladung und Verfahren zu deren Herstellung
JP4746835B2 (ja) * 2003-10-20 2011-08-10 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置
US6962846B2 (en) 2003-11-13 2005-11-08 Micron Technology, Inc. Methods of forming a double-sided capacitor or a contact using a sacrificial structure
KR100546395B1 (ko) * 2003-11-17 2006-01-26 삼성전자주식회사 반도체소자의 커패시터 및 그 제조방법
US7019346B2 (en) * 2003-12-23 2006-03-28 Intel Corporation Capacitor having an anodic metal oxide substrate
KR100553835B1 (ko) 2004-01-26 2006-02-24 삼성전자주식회사 캐패시터 및 그 제조 방법
KR100568733B1 (ko) 2004-02-10 2006-04-07 삼성전자주식회사 개선된 구조적 안정성을 갖는 캐패시터와 그 제조 방법 및이를 포함하는 반도체 장치와 그 제조 방법
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
US7005379B2 (en) * 2004-04-08 2006-02-28 Micron Technology, Inc. Semiconductor processing methods for forming electrical contacts
US7279379B2 (en) 2004-04-26 2007-10-09 Micron Technology, Inc. Methods of forming memory arrays; and methods of forming contacts to bitlines
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US20060024958A1 (en) * 2004-07-29 2006-02-02 Abbas Ali HSQ/SOG dry strip process
US7160788B2 (en) 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7442600B2 (en) 2004-08-24 2008-10-28 Micron Technology, Inc. Methods of forming threshold voltage implant regions
US7439152B2 (en) * 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20060046055A1 (en) * 2004-08-30 2006-03-02 Nan Ya Plastics Corporation Superfine fiber containing grey dope dyed component and the fabric made of the same
US7312131B2 (en) * 2004-11-30 2007-12-25 Promos Technologies Inc. Method for forming multilayer electrode capacitor
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
JP2006217447A (ja) 2005-02-07 2006-08-17 Yazaki Corp 車両用表示装置
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7341909B2 (en) * 2005-04-06 2008-03-11 Micron Technology, Inc. Methods of forming semiconductor constructions
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7491650B2 (en) * 2005-07-27 2009-02-17 Micron Technology, Inc. Etch compositions and methods of processing a substrate
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7226845B2 (en) * 2005-08-30 2007-06-05 Micron Technology, Inc. Semiconductor constructions, and methods of forming capacitor devices
US7713813B2 (en) * 2005-08-31 2010-05-11 Micron Technology, Inc. Methods of forming capacitors
US20070099328A1 (en) * 2005-10-31 2007-05-03 Yuan-Sheng Chiang Semiconductor device and interconnect structure and their respective fabricating methods
US7544621B2 (en) 2005-11-01 2009-06-09 United Microelectronics Corp. Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method
JP2006135364A (ja) 2006-02-16 2006-05-25 Renesas Technology Corp 半導体集積回路装置の製造方法
US20070207622A1 (en) 2006-02-23 2007-09-06 Micron Technology, Inc. Highly selective doped oxide etchant
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20070257323A1 (en) 2006-05-05 2007-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked contact structure and method of fabricating the same
US7902081B2 (en) * 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7682924B2 (en) * 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030058018A (ko) * 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 메모리 소자의 캐패시터 제조방법
KR20050000896A (ko) * 2003-06-25 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법

Also Published As

Publication number Publication date
SG146611A1 (en) 2008-10-30
CN101142657B (zh) 2011-08-03
US20090209080A1 (en) 2009-08-20
EP1859476A1 (en) 2007-11-28
CN102064093B (zh) 2013-01-02
KR20070104675A (ko) 2007-10-26
TW200642033A (en) 2006-12-01
TWI317982B (en) 2009-12-01
JP5119426B2 (ja) 2013-01-16
US20060211211A1 (en) 2006-09-21
CN101142657A (zh) 2008-03-12
US7919386B2 (en) 2011-04-05
EP1859476B1 (en) 2015-11-04
WO2006101669A1 (en) 2006-09-28
JP2008533739A (ja) 2008-08-21
CN102064093A (zh) 2011-05-18
US7557015B2 (en) 2009-07-07

Similar Documents

Publication Publication Date Title
KR100920016B1 (ko) 다수의 캐패시터를 형성하는 방법
US7413952B2 (en) Methods of forming a plurality of circuit components and methods of forming a plurality of structures suspended elevationally above a substrate
US7445990B2 (en) Methods of forming a plurality of capacitors
US7544563B2 (en) Methods of forming a plurality of capacitors
US8207563B2 (en) Integrated circuitry
US20060246678A1 (en) Methods of forming a plurality of capacitors
KR100357176B1 (ko) 커패시터의구조및제조방법
US6291293B1 (en) Method for fabricating an open can-type stacked capacitor on an uneven surface
KR20040057628A (ko) 반도체소자의 캐패시터 제조방법
US7544562B2 (en) Method for manufacturing a capacitor electrode structure
TW200926358A (en) Method of manufacturing stack capacitors
KR100630669B1 (ko) 반구형 그레인 커패시터 및 그 형성방법
KR20010086510A (ko) 반도체 장치의 커페시터 형성 방법
KR20110077162A (ko) 반도체 소자의 커패시터 및 그의 제조 방법
KR19990005479A (ko) 반도체 장치의 전하 저장 전극 형성 방법
JP2000216355A (ja) 半導体装置の製造方法及び半導体装置
KR19990085760A (ko) 캐패시터 제조방법
KR20050033695A (ko) 반도체 소자의 캐패시터 형성방법
KR20000003457A (ko) 이중 측벽 스페이서를 이용한 실린더 구조의 반구형 실리콘 그레인 전하저장전극 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee