KR100810258B1 - 플라즈마 점화 장치, 플라즈마 장치, 유도결합형 플라즈마 공정 장치, 플라즈마 점화 방법, 플라즈마 공정 방법 - Google Patents

플라즈마 점화 장치, 플라즈마 장치, 유도결합형 플라즈마 공정 장치, 플라즈마 점화 방법, 플라즈마 공정 방법 Download PDF

Info

Publication number
KR100810258B1
KR100810258B1 KR1020057003115A KR20057003115A KR100810258B1 KR 100810258 B1 KR100810258 B1 KR 100810258B1 KR 1020057003115 A KR1020057003115 A KR 1020057003115A KR 20057003115 A KR20057003115 A KR 20057003115A KR 100810258 B1 KR100810258 B1 KR 100810258B1
Authority
KR
South Korea
Prior art keywords
vessel
plasma
channel
gas
ignition
Prior art date
Application number
KR1020057003115A
Other languages
English (en)
Other versions
KR20050103183A (ko
Inventor
윌리엄 엠. 홀베
징 첸
앤드류 비. 카우
매츄 엠. 비센
로런드 더블유 . 주니어. 카린즈
수잔 씨. 트롤리
쉬우컨 쉬오
Original Assignee
엠케이에스 인스트루먼츠, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엠케이에스 인스트루먼츠, 인코포레이티드 filed Critical 엠케이에스 인스트루먼츠, 인코포레이티드
Publication of KR20050103183A publication Critical patent/KR20050103183A/ko
Application granted granted Critical
Publication of KR100810258B1 publication Critical patent/KR100810258B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Abstract

플라즈마 점화 및 냉각 장치 및 플라즈마 시스템용 방법이 기술된다. 장치(300)는 용기(390)와 용기에 인접한 적어도 하나의 점화 전극(330)을 포함한다. 적어도 하나의 점화 전극의 디멘션(D)의 총 길이는 용기의 채널의 길이의 10% 이상이다. 상기 장치는 절연 토로이드형 용기 및 용수철-부하 메커니즘에 의해 용기로 압박하는 다중 단편을 갖는 히트싱크 및 용기와 히트싱크 사이의 열계면을 포함한다. 방법은 유속과 압력을 갖는 가스를 제공하는 것과 용기 채널 내로 가스의 유속의 일부를 제어하는 것을 포함할 수 있다. 가스는 유속의 잔류 부분이 채널에서 이격되어 유도중에 채널 내에서 초기화된다.
플라즈마 점화 장치, 토로이드, 플라즈마 냉각.

Description

플라즈마 점화 장치, 플라즈마 장치, 유도결합형 플라즈마 공정 장치, 플라즈마 점화 방법, 플라즈마 공정 방법{PLASMA IGNITION APPARATUS, PLASMA APPARATUS, INDUCTIVELY COUPLED PLASMA PROCESSING APPARATUS, METHOD FOR IGNITING A PLASMA, AND METHOD FOR PLASMA PROCESSING}
본 발명은 일반적으로 플라즈마 생성과 공정 장비에 관한 것이다. 특히, 본 발명은 플라즈마 용기의 플라즈마 점화 및 냉각을 위한 방법 및 장치에 관한 것이다.
플라즈마 방전은 해리 가스에 사용되어 이온, 자유 라디칼(free radical), 원자 및 분자를 포함하는 활성 가스를 생산할 수 있다. 활성 가스는 반도체 웨이퍼, 분말, 및 다른 가스와 같은 물질을 가공하는 것을 포함하는 많은 산업응용분야 및 과학응용분야에 이용된다. 플라즈마 특성과 가공되는 물질에 대한 플라즈마 노출 조건은 응용분야에 따라 광범위하게 변화된다.
예를 들면, 가공되려는 물질이 피해에 민감하거나, 다른 것과 관련있는 어떤 물질의 선택적인 식각이 필요하기 때문에, 몇몇 응용분야는 저 운동에너지(예를 들면 작은 전기 볼트)를 갖는 이온의 사용이 요구된다. 이방성 식각 또는 평탄화 절연 증착과 같은 다른 응용분야는 고 운동에너지를 갖는 이온의 사용이 요구된다.
몇몇 응용분야는 가공되려는 물질이 고밀도 플라즈마에 직접 노출되는 것이 요구된다. 그러한 응용분야는 이온-활성 화학반응과 높은 종횡비(aspect-ratio) 구조로 물질의 식각과 증착을 하는 것을 포함한다. 물질이 이온으로 인한 피해에 민감하거나 공정이 높은 선택도의 조건을 갖기 때문에 다른 응용분야에서는 가공되려는 물질을 플라즈마로부터 차폐하는 것이 필요하다.
플라즈마는 직류(DC)방전, 고주파(RF)방전, 및 극초단파방전을 포함하는 다양한 방법으로 생성시킬 수 있다. 직류방전은 가스 내의 두 전극 사이에 전위를 인가함으로써 달성될 수 있다. 고주파 방전은 전력 공급원으로부터의 에너지를 플라즈마에 전기용량적으로(capacitively) 또는 유도적으로 결합시킴으로써 달성될 수 있다.
평행판은 에너지를 플라즈마에 전기용량적으로 결합시키도록 사용될 수 있다. 유도코일은 플라즈마에서 전류를 유도시키도록 사용될 수 있다. 극초단파방전은 극초단파 에너지 소스를 가스를 포함하는 방전챔버에 결합시킴으로써 생성될 수 있다.
플라즈마 방전은, 플라즈마를 구성하고 있는 대전종(charged species)과 플라즈마에 의해 활성화될 수 있는 중성종(neutral species) 양쪽이, 가공되려는 물질과 밀접하게 접촉하고 있는 것과 같은 방식으로 생성될 수 있다. 선택적으로, 플라즈마 방전은 가공되려는 물질로부터 멀리 떨어져 생성될 수 있고, 그래서 비교적 소수의 대전종이 가공되려는 물질과 접촉을 하게 되고, 반면에 중성종은 여전히 접촉될 수 있을 것이다.
그러한 플라즈마 방전은 보통 원격 플라즈마 방전 또는 다운스트림 (downstream) 플라즈마 방전이라고 명명된다. 그 구조에 따라, 가공되는 물질과 관련된 위치 결정, 가공 조건(플라즈마 내의 가스종, 압력, 유속, 전력), 플라즈마 소스가 이러한 두가지 일반적인 유형중 하나 또는 양쪽 모두의 특징을 가질 수 있다.
원격 플라즈마 소스의 발현은 플라즈마를 생성하기 위하여 고주파전력 또는 극초단파 전력을 일반적으로 이용한다. 비록 현재 소스는 많은 응용분야에 성공적으로 제공되지만, 이러한 소스의 실제적인 사용에 있어 몇몇 기술적 한계가 남아있다.
극초단파전력은 생산하고 이송하고 부하와 맞추는데 일반적으로 더 고가이기 때문에 극초단파-기반 원격 플라즈마소스는 고주파 소스보다 일반적으로 더 고가이다. 극초단파 소스와 전력이송시스템은 또한 고주파 소스보다 일반적으로 부피가 더 크고 극초단파 전력을 생성하는 관의 주기적인 교체가 요구된다.
유도결합뿐만 아니라 축전결합을 어느 정도 갖는 고주파 원격 플라즈마 소스는 대응하는 극초단파 소스에 비해 저렴하고 더 소형일 수 있다. 그러나 플라즈마 점화 공정을 조력하는 축전결합(capacitive coupling)은, 플라즈마 내에서 생성된 강력한 이온에 의한 플라즈마 용기의 벽체의 충격으로 인하여 플라즈마 용기의 노출벽체의 열화를 야기시킬 수 있다. 유도 고주파 결합을 사용하지만 연관된 축전 결합은 최소인 고주파 원격 플라즈마 소스는, 플라즈마 용기 표면의 더 적은 이온 유도성 열화를 나타낼 수 있다. 그러나 축전 결합의 감소나 제거는, 특히 공정 조건의 넓은 범위에 걸쳐 플라즈마 점화를 획득하는데 더욱 어려울 수 있다.
원격 플라즈마 소스의 존재가 갖는 두번째 어려움은 플라즈마 내에서 발생되는 열을 제거하는 것과 플라즈마 용기의 벽체에 증착되는 것이다. 이것은 특히 플라즈마 용기가 복잡한 형상을 갖고, 큰 유량을 갖고 절연 용기와 접촉하는 직접 냉각이 바람직하지 않거나 비실용적인 경우에 문제가 된다. 이것은 플라즈마내로 확실하게 결합될 수 있는 전력을 제한하는 효과를 갖는다.
예를 들면, 토로이드형 플라즈마 시스템의 존재는 고주파 에너지가 플라즈마 내로 결합되는 방식으로 높은 유도성일 수 있다. 예를 들면 플라즈마는 축전 결합된 고주파 점화 방전이나 자외선 방사를 통하여 점화될 수 있다. 플라즈마 시스템은 특정한 가스종, 압력, 유속조건을 갖는 독립된 플라즈마 점화 단계를 요구할 수 있다. 특정한 조건은 동작 상태의 조건과 다를 수 있다. 이러한 제약은 진공 성분 및 플라즈마 시스템과 결합되어 사용되는 가스취급시스템 양쪽에 추가적인 복잡성을 부가할 수 있고, 공정을 위해 필요한 전체적인 시간도 증가시킬 수 있다.
본 발명은 부분적으로 용기 내에서 플라즈마의 확실한 점화를 제공할 수 있고, 용기의 효과적이고 확실한 냉각을 제공할 수 있는, 장치와 방법에 특징이 있다. 이를 위하여, 본 발명은 기존 플라즈마 시스템보다 넓은 면적 및/또는 긴 점화 전극, 점화하는 동안 플라즈마 용기로 유입되는 가스의 우회로, 플라즈마 용기의 가스 유입구에 인접한 점화, 히트 싱크와 용기 사이에 배치된 간격이 벌어진 또는 간격이 없는 탈열구조(gapped or ungapped heat removal structure)를 특징으로 한다. 본 발명의 특징은 종래 플라즈마 시스템에서 불가능한 응용분야의 범위를 가능하게 한다.
본 발명의 특징의 몇몇 실시예는 절연 용기를 포함한다. 절연 용기는 고순도이고 산소(O), 질소(N), 수소(H), 플루오르(F), 염소(Cl), 및 브롬(Br)과 같은 활성 중성종을 위한 금속 용기 또는 금속-피막 용기로부터 얻을 수 있는 것보다 더 낮은 재결합을 제공하는 플라즈마에 노출된 표면을 가질 수 있다.
본 발명은 예를 들면 종래의 토로이드형-플라즈마 시스템에서 가능했던 것보다 넓은 공정 범위에 걸쳐 플라즈마 점화를 제공할 수 있다. 종래의 시스템보다 용기 내 채널 체적의 큰 부분을 채우는 축전 전하는 가스 특성(예를 들면 가스의 종류, 유속, 및 가스 압력)의 넓은 범위에 걸쳐 점화를 제공할 수 있다. 교차되는 향상된 점화는, 예를 들면 더욱 난이하고/또는 장시간의 점화 단계를 갖는 종래의 시스템보다 더욱 향상된 공정반복능과 단축된 공정성과를 제공할 수 있다.
본 발명은, 부분적으로, 점화를 조력하는 플라즈마 용기 체적의 상당 부분을 채우는 분산 축전 전하를 제공한다. 보다 용이한 점화는 보다 빠르고 안정된 공정의 초기화를 번갈아 제공할 수 있다. 동작 조건에 맞추어 또는 동작조건에 근접하여 플라즈마를 점화하는 능력은, 예를 들면 원자층 증착(ADL : Atomic Layer Deposition)과 같은 단주기를 갖는 공정에 유리할 수 있다.
본 발명의 몇몇 실시예는 분산 축전 전하를 제공하기 위해 용기에 인접한 대형 축전-결합전극 및/또는 공간적으로 분산된 축전-결합 전극을 특징으로 한다. 전극은 용기의 채널의 많은 부분을 통해 용기 내에 이온화된 전기장을 인가함으로써 용기 내 플라즈마를 점화할 수 있다. 따라서 점화는 몇몇 종래의 플라즈마 생성 시스템에서 불가능한 가스종과 유속에서 달성될 수 있다. 점화 전극은 냉각 쉘과 절연 용기 사이에 냉각 부품 및/또는 열계면 부품의 부분이 될 수 있다.
본 발명의 몇몇 장치는 용기의 하나 이상의 유입구에 또는 유입구에 인접해서 위치한 하나 이상의 점화 전극을 포함한다. 이러한 전극은 단독으로 또는 다른 전극 및/또는 다른 점화 수단과 결합하여 플라즈마를 점화하는 이온화된 종을 갖는 용기 내부의 시드 가스(seed gas)로 방전될 수 있다.
본 발명은 절연 용기에 공기 송풍 또는 직접 유체 냉각의 필요 없이 100kW 이상 향상된 전력에서 절연 용기 냉각을 제공할 수 있다. 냉각 쉘 형태의 히트 싱크는 예를 들면 금속, 폴리머(polymer) 및/또는 복합물질 또는 적층(laminate)물질을 포함하는, 열로 전도성 및/또는 변형가능한 물질을 포함하는 이격을 갖는 용기에 가까이 근접하여 위치할 수 있다.
이격-충진 물질은 냉각 쉘과 절연 용기 사이에 열-전도 경로를 제공한다. 물질은 다양한 정도의 신축력을 가질 수 있고, 냉각 쉘은 절연 용기 외면과 냉각 쉘 표면의 양쪽과 밀접하게 접촉해 있는 이격-보충 물질을 지지한다. 이것은 절연 용기, 이격-보충물질, 및 냉각 쉘 사이에 좋은 열접촉을 보장하는 것을 도울 수 있다.
바람직한 실시예에서, 토로이드형 로필드(low-field) 활성 가스 소스는 절연 물질로 형성되는 진공 용기로 구성된다. 용기 냉각은 동작 전력의 넓은 범위에 걸쳐 확실한 동작을 제공한다. 소스의 응용 분야는 반도체 공정과 공정 챔버 클리닝을 포함한다. 다른 응용분야는, 예를 들면 화학 공정에서 활성 가스상태종의 공급이나 방출 가스 흐름으로부터 위험하거나 바람직하지 않은 가스상태종의 개질이나 제거를 포함한다.
몇몇 바람직한 실시예에서, 본 발명은 냉각 쉘에 의해 둘러싸인 토로이드-형상의 절연 용기를 특징으로 한다. 냉각 쉘은 상기에 설명된 이격-보충 물질과 같이 열로 야기된 체적 변화의 기계적인 조절을 제공하는 열계면만큼 용기로부터 이격될 수 있다.
열계면은 냉각 쉘과 절연 용기간의 열-기계적인 부정합으로부터 발생한 체적 변화를 흡수하는 탄성 및/또는 가소성이 있는 기계적인 특성을 갖는 하나 이상의 물질을 포함할 수 있다. 열계면은 용기와 쉘 사이에 매우 좁은 공간으로 한정될 수 있다.
이러한 몇몇 실시예에서, 냉각 쉘은 절연 용기의 외면과 냉각 쉘 양쪽과 밀접한 열접촉을 갖는 열계면을 유지하기 위하여 용수철-부하 메커니즘(spring-loaded mechanism)을 통해 절연 용기의 외부 표면에 접하여 능동적으로 압축된다. 용수철-부하 메커니즘을 통해 서로 결합된 다중 단편을 갖는 냉각 쉘은, 토로이드 형상과 같은 복합 형상을 갖는 용기의 사용을 제공할 수 있고, 용기와 쉘 사이의 열-부정합 응력을 조절할 수 있는 독립적인 냉각 구조를 제공할 수 있다.
본 발명의 몇몇 실시예에서, 절연 진공 용기의 외부 표면은 열계면 물질의 붕괴 및/또는 오존 생성의 원인이 되는, 절연용기를 통해 이격으로 흐르게 하여 플라즈마로 생성되는 자외선 방사를 막는 층이 코팅된다. 코팅은 불투명 및/또는 반사되는 특징 및/또는 흡수되는 특징을 가질 수 있다. 외부 코팅 층은 열확산층 역할을 할 수 있고, 그 때문에 절연 진공 용기의 냉각을 조력할 수 있다.
몇몇 실시예에서, 진공 용기의 내부 표면은 강력한 이온이나 활성 중성종에 의한 침식에 견디는 층으로 코팅된다. 코팅은 감소된 플라즈마 성분 재결합율을 제공한다. 내부 코팅의 예는 실리콘 나이트라이드(silicon nitride), 실리콘 다이옥사이드(silicon dioxide), 알루미늄 옥사이드(aluminum oxide), 알루미늄 나이트라이드(aluminum nitride), 다이아몬드, 및 폴리머 물질을 포함한다.
본 발명의 원리에 따른 플라즈마 소스와 시스템은 예를 들면 고체 표면, 분말, 기체와 같은 많은 물질을 가공하는데 유용하다. 시스템은 박막 증착과 식각 시스템와 같은 반도체 가공 장비내의 가공 챔버를 세정하는데에도 유용할 수 있다. 플라즈마 소스는 이온 이식 및 이온 밀링 시스템용 이온을 제공하는데에도 사용될 수 있다.
부가적으로, 플라즈마 소스는 반도체 디바이스[예를 들면 실리콘, 실리콘 다이옥사이드, 실리콘 나이트라이드, 알루미늄, 몰리브덴(molybdenum), 텅스텐 및 포토레지스트(photoresist), 폴리마이드(polymide) 및 다른 폴리머 물질과 같은 유기물질을 식각하기 위한]를 제조하는데 사용되는 식각 시스템을 제공할 수 있다. 플라즈마 소스는 예를 들면 다이아몬드, 실리콘 다이옥사이드, 실리콘 나이트라이드, 및 알루미늄 나이트라이드로부터 형성되는 박막 물질의 플라즈마 증착법(plasma-enhanced deposition)도 제공할 수 있다. 전도 물질 및 반도체 물질은 물론 고 절연 상수 물질 및 저 절연 상수 물질 둘다 플라즈마 증착법에 의해 증착될 수 있다.
상기 시스템은 플루오르 원자(atomic fluorine), 염소 원자, 브롬(bromine) 원자, 수소 원자, 질소 원자, 및 산소 원자와 같은 활성 가스를 생성하는데에도 유용할 수 있다. 그러한 활성 가스는 실리콘, 게르마늄 및 갈륨비소(GaAs)와 같은 다양한 반도체 물질 그리고 실리콘 다이옥사이드, 탄탈 옥사이드(tantalum oxide), 하프늄 옥사이드(hafnium oxide), 주석 옥사이드, 아연 옥사이드, 루테늄 옥사이드(ruthenium oxide) 및 인듐-주석 옥사이드(indium-tin oxide)와 같은 다양한 옥사이드를 환원하고 가공하고 안정화시키고 또는 패시베이션(passivating) 하는데 유용하다. 응용분야는 무플럭스(fluxless) 납땜, 실리콘 표면으로부터 실리콘 다이옥사이드의 제거, 웨이퍼 가공 이전의 실리콘 표면의 패시베이션 및 다양한 물질의 증착 또는 식각을 포함한다.
다른 응용분야는 폴리머, 금속, 세라믹 및 종이의 표면 특성의 개질을 포함한다. 시스템은 불화탄소(CF4), 삼불화질소(NF3), 불화에탄(C2F6), 삼불화메탄(CHF3), 육불화황(SF6)과 같은 불소-함유 화합물 및 다이옥신(dioxin), 푸란(furan) 및 다른 휘발성 유기 화합물과 같은 유기 화합물을 포함하는, 위험하거나 환경적으로 바람직하지 않은 가스를 감소시키는 것도 제공할 수 있다. 시스템은 소독용 산소원자, 염소원자, 불소원자의 높은 플럭스(flux)를 생성할 수 있다. 시스템은 대기압 방전을 제공할 수 있다.
따라서, 첫번째 견해로, 본 발명은 플라즈마 점화 장치를 특징으로 한다. 장치는 용기와 용기에 인접한 적어도 하나의 점화 전극을 포함한다. 전극은 용기에 의해 폐쇄형 채널의 인접부에 정렬하는 디멘션(dimension)을 갖는다. 적어도 하나의 점화 전극의 디멘션의 총길이는 채널 길이의 10%보다 크다. 적어도 하나의 점화 전극은 가스의 플라즈마 방전을 초기화하기 위하여 채널 내의 가스에 전기장을 인가할 수 있다.
장치는 점화전극과 협력하여 동작하는 기준전극(reference electrode)을 포함할 수 있다. 기준전극 및/또는 점화전극은 또한 용기로부터 탈열하는 히트싱크와 같이 작동할 수도 있다.
두번째 견해로, 본 발명은 용기의 총 외부 면적의 1%보다 큰 영역을 갖는 적어도 하나의 점화전극을 포함하는 플라즈마 점화 장치를 특징으로 한다. 장치는 기준전극 및 적어도 하나의 점화전극의 총 표면 면적이 용기의 총 외부 면적의 2 % 내지 100 % 범위인, 기준 전극을 포함할 수 있다. 몇몇 실시예는 절연 플라즈마 용기를 포함하는 이러한 특징과 관련있다;다른 실시예는 금속 플라즈마 용기와 금속 용기의 총 외부 면적의 10%보다 큰 면적을 갖는 적어도 하나의 점화 전극을 포함한다.
세번째 견해로, 본 발명은 적어도 세개의 점화 전극을 포함하는 플라즈마 점화 장치를 특징으로 한다. 네번째 견해로, 본 발명은 가스 유입구와 가스 유출구와 용기의 유입구에 인접한 유동 가스에 전기장 인가를 위한 가스 유입구에 인접한 점화 전극을 갖는 용기를 포함하는 플라즈마 점화 장치를 특징으로 한다. 점화 전극은 가스 유입구로부터 상류에 위치할 수 있다.
다섯번째 견해로, 본 발명은 플라즈마 장치를 특징으로 한다. 장치는 절연 물질로 형성되는 토로이드형 용기, 용기에 인접한 히트 싱크, 및 열계면을 포함한다. 열계면은 용기 및 히트 싱크 사이에 배치되어 있고, 용기 및 히트 싱크와 기계적으로 통한다. 열계면은 열로 야기된 체적 변화에 대응하여 열계면, 히트 싱트 및/또는 용기의 거동을 조절하는, 히트 싱크와 용기 사이의 공간을 한정한다. 히트 싱크는 하나의 부분 이상을 포함할 수 있고, 상기 부분은 용기의 형상과 체적의 변화를 조절하는 용수철-부하 메커니즘에 의해 결합될 수 있다.
코팅은 플라즈마에서 생성된 자외선 방사가 히트 싱크와 용기 사이의 공간에 침투하는 것을 막기 위하여 용기의 외부에 적용될 수 있다. 코팅은 또한 절연 용기의 냉각에도 부가될 수 있다.
여섯번째 견해로, 본 발명은 플라즈마를 점화하는 방법에 특징이 있다. 방법은 가스 유입구와 유출구를 갖는 용기를 제공하는 것과, 유입구를 통해 채널 내로 가스가 유동하는 것과, 가스가 채널속으로 유동될 때 가스 유입구에 근접한 가스에 이온화된 전기장을 인가함으로써 채널내의 가스가 점화하는 것을 포함한다.
일곱번째 견해로, 본 발명은 플라즈마를 점화하는 방법에 특징이 있다. 방법은 유속과 압력을 갖는 가스를 제공하는 것과, 용기 채널 내로 가스 유속의 일부를 향하게 하는 것을 포함한다. 가스는 적어도 유속의 일부가 채널로부터 떨어져 향하는 동안 채널 내에서 점화된다. 유속의 일부 또는 전부는 가스 점화 후 채널 내로 향할 수 있다.
본 발명은 첨부된 청구항에서 더 상세히 설명된다. 본 발명의 상기 및 기타 이점은 첨부도면과 합체된 하기 설명을 참조함으로써 더 잘 이해될 수 있다.
도 1은 토로이드형 플라즈마 시스템 실시예에 의한 단면 개략도,
도 2A는 플라즈마 시스템 일부의 실시예에 의한 평면 개략 단면도,
도 2B는 도 2A의 플라즈마 시스템 일부의 실시예에 의한 측면 단면 개략도,
도 3은 플라즈마 시스템 일부의 실시예에 의한 평면 개략도,
도 4A, 도 4B,및 도 4C는 각각 플라즈마 시스템 일부의 실시예에 의한 평면 개략도, 측면 단면 개략도(평면 B에 따름), 및 끝단면도(평면 C에 따름),
도 5A, 도 5B, 도 5C 및 도 5D는 플라즈마 시스템 일부의 네 개의 실시예에 의한 평면 개략도,
도 6은 도 5에 예시된 용기와 유사한 용기를 포함하는 시스템의 실시예의 일부에 의한 단면 개략도,
도 7은 해리 가스용 방법의 실시예에 의한 흐름도,
도 8은 가스 유입구와 유출구를 갖는 선형-형상 용기를 포함하는 플라즈마 시스템의 실시예에 의한 평면도,
도 9는 가스 유입구와 유출구를 갖는 토로이드-형상 용기를 포함하는 플라즈마 시스템의 실시예에 의한 평면도,
도 10은 해리 가스용 방법의 실시예에 의한 흐름도,
도 11은 도 10의 방법을 실시할 수 있는 플라즈마 시스템의 실시예에 의한 블럭도,
도 12는 플라즈마 용기로부터 제거되는 열의 이동을 조력하는 부품을 포함하는 시스템의 실시예의 일부에 의한 단면도,
도 13은 도 12의 용기 및 냉각-관련 부품의 일부에 의한 분해 사시도,
도 14A 및 도 14B는 열계면의 탄성부를 포함할 수 있는 탄성 형상의 실시예 에 의한 측면도,
도 14C는 열계면의 탄성부와 같은 역할을 하기에 적당할 수 있는 용수철부의 실시예에 의한 사시도,
도 15는 정방형 단면 용기 및 용수철-부하 메커니즘에 의해 서로 결합된 네개의 히트-싱크 단편을 포함하는 플라즈마 시스템의 실시예 일부에 의한 단면도,
도 16은 플라즈마 공정 시스템의 실시예에 의한 블럭도,
도 17A 및 도 17B는 가스 유입 샤워헤드(showerhead)의 실시예에 의한 평면도,
도 18A 및 도 18B는 점화 전극과 플라즈마 시스템의 인접부의 실시예에 의한 단면도.
정의
"플라즈마 시스템"은 플라즈마 생성 시스템을 포함하는 장치이고, 물질 공정 부품을 포함할 수 있다. 플라즈마 시스템은 하나 이상의 용기, 전력 공급 부품, 계측 부품, 제어 부품, 및 다른 부품을 포함할 수 있다. 공정은 하나 이상의 용기 및/또는 하나 이상의 용기와 교환하는 하나 이상의 공정 챔버 내에서 일어날 수 있다. 플라즈마 시스템은 플라즈마의 소스 또는 플라즈마 내에서 생성된 활성가스종 이 될 수 있고 또는 완전한 공정 도구가 될 수 있다.
"용기"는 가스 및/또는 플라즈마를 포함하고 내부에서 플라즈마가 점화 및/또는 지속될 수 있는 컨테이너 또는 컨테이너의 일부이다. 토로이드형 용기는 적어도 하나의 절연부를 포함하고, 절연 물질로 전체를 형성한다. 용기는 플라즈마 몸체라고 명명되기도 한다. 용기는 플라즈마 공정 시스템을 형성하는 발전기와 냉각 부품과 같은 다른 부품들과 결합된다. 용기는 다양한 형상을 갖는 채널을 한정할 수 있다. 예를 들면, 채널은 선 형상을 가질 수 있고, 또는 고리 형상(토로이드형 플라즈마를 제공하기 위함)을 가질 수 있다.
"채널"은 한정된 부피이고 용기에 의해 둘러싸여 있다. 채널은 가스 및/또는 플라즈마를 포함할 수 있고, 가스종 및 플라즈마종을 받거나 이송하기 위하여 용기의 하나 이상의 유입구와 하나 이상의 유출구와 교환될 수 있다. 플라즈마 시스템은 채널 내에 직류 또는 교류 전기장를 인가하는 수단을 포함할 수 있다. 전기장은 채널 내에서 플라즈마를 유지할 수 있고, 단독으로 또는 다른 수단과 협력하여 채널 내의 플라즈마를 점화할 수 있다.
"채널 길이"는 플라즈마가 존재할 수 있는 총 경로 길이이다. 토로이드형 채 널에서, 길이는 채널 주위의 단일 회로의 길이로 한정될 수 있다. 따라서 원형-형상 채널은 채널의 중앙과 결합된 원의 원주와 동일한 길이를 갖는다.
"플라즈마"는 가스와 연관된 대전 입자의 집합을 포함하는 물질의 상태이다. 여기서 사용되는 것에 따르면, 플라즈마는 이온화된 종과 결합된 중성자 및/또는 분자를 포함할 수 있다. 용기 내의 물질은, 점화 후, 플라즈마 상태에서 종으로 단독해서 구성되어 있는 그러한 물질에 한정하는 경향 없이 플라즈마로 널리 불린다.
"토로이드형 플라즈마"는 폐쇄경로의 형상이고, 폐쇄 경로내에 플라즈마 흐름 순환을 갖는 플라즈마이다. 토로이드형 플라즈마는 "토로이드형 용기"에 의해 둘러싸인 "토로이드형 채널"내에 존재할 수 있다.
"점화"는 플라즈마를 형성하기 위하여 가스 내의 초기 붕괴의 원인이 되는 공정이다.
"점화 전극"은 용기와 축전 결합되어 있는 전극이고, 전압은 용기 내의 가스 점화를 위해 인가될 수 있다. 점화 전압은, 예를 들면 점화 전극과 기준 전극사이 또는 점화 전극과 용기의 전도부 사이에서 인가될 수 있다. 하나 이상의 점화 전극은 용기의 내부 표면 또는 외부 표면에 인접할 수 있다 (여기에서 기술된 예시된 실시예는 외부 표면에 인접한 점화 전극을 포함).
여기 문맥에서 따른 "기준 전극" 용어는 하나 이상의 점화 전극과 협력하여 작용하는 용기의 하나 이상의 전극 및/또는 하나 이상의 전도부와 연관되어 넓게 해석할 수 있다.
"비활성 가스(Inert gases)"는 많은 상황에서 무-반응성 또는 낮은 반응속도 를 갖는 가스로, 아르곤(argon)과 다른 불활성 가스를 포함한다.
"불활성 가스(Noble gases)"는 헬륨, 아르곤, 크립톤(krypton), 크세논(xenon), 때로는 라돈(radon)을 포함하고 화학적인 안정성과 낮은 반응속도를 나타내는 희박한 가스군이다.
"반응성 가스"는 하나 이상의 화학 반응에 참가하는 경향이 있는 몇몇 종을 포함한 가스이다. 반응성 가스는 비활성 가스가 아닌 가스를 포함한다.
"활성 가스"는 이온, 자유 라디컬, 중성 반응 원자 및 분자중 어느 것을 포함한다.
"히트 싱크"는 열의 흡수, 발산 및/또는 제거를 위한 하나 이상의 부분 및/또는 부품을 포함하는 구조이다. 히트 싱크는 예를 들면 점화 전극와 기준 전극같은 전극이 될 수도 있다.
본 발명의 상기-언급된 특징 및 기타 사항은 도 1 및 도 2를 참조하여, 토로이드형 플라즈마 시스템의 어떤 구조 및 동작 측면에서 처음 설명한 후에 더욱 자세히 설명될 것이다.
도 1은 토로이드형 플라즈마 시스템(100)의 실시예의 일부의 단면 개략도이다. 상기의 일부는 채널(114), 자기 코어(102, 104, 106, 108), 가스 유입구(118), 가스 유출구(119), 및 정합면(116)을 한정하는 용기(110)를 포함한다.
용기(110)은 하나 이상의 절연 물질로 완전히 형성될 수 있거나 전도 물질 과 절연 물질 모두에 의해 형성될 수 있다. 적절한 전도 물질은 알루미늄, 구리, 니켈 및 철과 같은 금속을 포함한다. 용기(100)는 양극처리된 알루미늄이나 니켈도금된 알루미늄과 같은 코팅된 금속으로 형성될 수 있다. 차후에 상세히 기술되는 본 발명의 몇몇 실시예에서, 용기(110)는 절연 물질로 형성되고, 절연 물질의 냉각을 조력하는 히트 싱크 물질에 의해 둘러싸인다.
용기(110)는, 예를 들면 정합면(116)에서, 용기(110) 주위의 전기적인 연속성이 파괴되어 용기(110)의 일부가 전기적으로 고립된, 적어도 하나의 절연 구역을 포함한다. 만약 용기가 오로지 절연 물질로 형성된다면, 용기(110)은 결합면이 없는 물질의 단편으로 형성될 수 있고, 따라서 정합면(116)을 포함하지 않는다.
용기(110)의 결합면은 고진공밀봉을 제공할 수 있다. 밀봉은 탄성 중합체 밀봉을 포함할 수 있고, 납땜접합과 같은 영구적인 밀봉이 될 수 있다.
나타난 바와 같이, 자기 코어(102, 104, 106, 108)은 용기(110)의 일부, 예를 들면 채널(114)의 일부를 둘러싸고 있다. 변압기의 1차코일(미도시)과 결합된 자기 코어(102, 104, 106, 108)는, 예를 들면 스미스 등의 미합중국 특허 제6,150,628호에 기술된 대로, 채널(114)에 정렬된 전기장과 전류를 유도한다. 채널(114) 내의 플라즈마는 변압기의 2차회로를 완성한다.
변압기는 부가적인 2차 회로를 형성하는 부가적인 자기 코어와 유도 1차코일을 포함할 수 있다. 1차 코일은, 예를 들면 10 kHz 미만 내지 20 MHz 초과 범위의 주파수를 갖는, 예를 들면 교류 전력 공급기에 의해 전력을 공급할 수 있다. 주파수의 선택은 플라즈마에 인가되는 요구 전력과 전압에 의존할 것이다.
최적 동작 주파수의 선택은 응용분야, 교류 전력 공급기, 및 자기 코어 물질에 의존될 수 있다. 예를 들면 1 토르(torr) 내지 10 토르의 범위의 압력을 갖는 산소나 질소 같은 가스는 50 KHz 내지 14 MHz의 주파수에서 특별한 이익을 가지고 사용될 수 있다.
자기 코어(102, 104, 106, 108)는 보정될 수 있는 주권선을 가질 수 있다. 이것은, 예를 들면 전압이나 전류를, 점화 및 특정한 공정 동작 조건(예를 들면, 특정한 공정, 유속, 가스종 조건)을 위해 최적화되도록 플라즈마에 인가하는 것을 허용될 수 있다.
전기 부품은 교류 전력 공급기의 출력단과 자기 코어(102, 104, 106, 108)의 제1차 입력단 사이의 회로 경로 내에 있을 수 있다. 이러한 부품은 저항, 축전기, 및/또는 인덕터(inductor)를 포함할 수 있다. 예를 들면, 직렬 인덕터는 플라즈마에 인가되는 전압 파형을 평탄하게 하는데 사용될 수 있는데, 그래서 플라즈마 안정성을 향상시킨다.
부품들은 예를 들면 전기적인 또는 기계적인 수단을 통해서, 고정되거나 가변성 제어를 통해 가변될 수 있다. 부품들은 회로 변경 임피던스나 네트워크 매칭 임피던스를 형성한다.
교류 전력 공급기의 출력부에 있는 또는 교류 전력 공급기 내로 만들어진 공명 회로는 점화의 목적을 위하여 점화 전압과 루프 전압(예를 들면, 채널에 따른 전압 강하)을 높이는데 사용될 수 있다. 공명 회로는 교류 선 파동으로부터 야기되 는 플라즈마 불안정성도 감소시킬 수 있다.
교류 전력 공급기의 입력단에 연결된 직류 전력 소스는 교류 선 전압의 정류와 여과를 통해 얻을 수 있다. 직류 전력 소스 전압은 플라즈마에 인가된 전압을 안정화시키고 교류 선 전압내의 변이와 관계하는 조절기를 제공하는 부가 회로를 통해 통제될 수 있다. 직류 소스 전압과 전류는 플라즈마로 이송되는 전력을 통제하는데에도 사용될 수 있다.
전력, 전류 및 전압과 같은 다양한 요소를 감시하는 것이 바람직할 수 있다. 플라즈마로 이송되는 전력은, 예를 들면 직류 전력 소스로 전력 출력단을 측정함으로써 예측될 수 있다. 전력 측정은 직류 전력 소스의 출력단과 플라즈마 사이에 배치된 전기 소자에서 전기적인 감손을 측정하거나 예측함으로써 보완할 수 있다. 전력은, 예를 들면 교류 전력 공급기의 출력단에서도 측정될 수 있다.
플라즈마로 이송된 전력은 여러가지 수단, 예를 들면 다양한 : 직류 전력 소스 전압의 크기 ; 플라즈마에 인가된 피크 전류(peak current) ; 플라즈마에 인가된 교류 전력의 충격 계수(duty cycle) ; 플라즈마에 인가된 교류 전압의 양 ; 및 플라즈마에 인가된 교류 전력의 주파수를 통해 제어될 수 있다. 교류 전력 공급기의 출력단과 플라즈마 사이의 전력 변환의 효율은 플라즈마에 인가된 다양한 전력에 따라 변화될 수 있다.
플라즈마 소스와 그것의 교류 전력 공급기와 제어 시스템의 비용과 복잡성을 감소시키기 위하여, 이러한 부품들은 단일 인클로저(single enclosure)내로 집적될 수 있다. 선택적으로, 융통성의 증대를 위하여 플라즈마 소스는 다음의 교류 전력 공급기, 직류 전력 소스, 및 제어 시스템의 어느 것과 분리될 수 있다. 절연 플라즈마 용기 및 냉각하고 설치하는데 관련된 부품들은 필드(field)내에서 부품 대체를 조력하기 위한 다른 부품과 분리될 수 있다.
용기(110)의 형상은 변경될 수 있다. 예를 들면, 용기(110)은 정방형 도넛 형상(예시된 바와 같이), 장방형 도넛 형상, 둥근 도넛 형상 등이 될 수 있다.
동작중에, 공급 가스는 가스 유입구(118)로 유동한다. 가스는, 예를 들면 0.001 토르와 1000 토르사이의 압력에 도달할 때까지 채널(114) 내로 유동될 수 있다. 가스는 비활성 가스, 반응성 가스 또는 적어도 하나의 비활성 가스와 적어도 하나의 반응성 가스의 혼합을 포함할 수 있다. 가스 혼합은, 예를 들면 점화용 성분과 공정 동작 조건용 성분의 제공에 의해 변경될 수 있다. 플라즈마의 일부는 유출구(119)를 통해 채널(114)로부터 이송될 수 있다.
몇몇 실시예에서, 플라즈마 시스템은 플라즈마 용기에 미량의 이온종을 남기거나 또는 이온종을 남기지 않기 위해 구성된다. 다른 실시예에서, 몇몇 이온종은, 예를 들면 용기와 교환하는 챔버 내의 공정을 조력하기 위해 용기로부터 이송된다. 또 다른 실시예에서, 용기는 공정 챔버에 집적되고, 그래서 플라즈마는 챔버 내에서 생성된다.
가스가 일단 이온화되면, 플라즈마는 변압기의 2차 회로를 형성하고 완성한다. 플라즈마 내의 전기장은 1 volt/cm 미만 내지 100 volt/cm 초과의 범위 내에 있을 수 있다. 만약 단지 불활성 가스가 용기(110)내에 존재하다면, 플라즈마 내의 전기장은 1 volt/cm 또는 그 이하만큼 작을 수 있다. 그러나, 만약 전기음성가스(electronegative gases)가 챔버 내에 존재한다면, 플라즈마 내의 전기장은 1 volt/cm 보다 상당히 클 수 있다.
채널(114)내에 저전기장을 갖는 용기(110)을 동작하는 것은 유익한데, 그 이유는 플라즈마와 챔버 사이의 저전위차는 활동 이온에 의한 챔버의 부식과 가공된 물질의 오염을 감소시킬 수 있기 때문이다.
용기(110)는 용기(110)내 플라즈마를 점화하는 초기 이온화 이벤트를 제공하는 자유 전하를 생성하는 수단을 포함할 수 있다. 초기 이온화 이벤트는 플라즈마 챔버에 인가된 짧고 높은 전압 펄스가 될 수 있다. 펄스는 대략 500-20,000 볼트의 전압을 갖고, 대략 0.1 마이크로초(microsecond) 내지 10 마이크로초 길이가 될 수 있다. 초기 이온화 이벤트는 고주파 펄스가 될 수 있는, 대략 10 마이크로초 내지 3초의 더욱 긴 지속기간의 고전압 펄스의 사용에 의해서도 생성될 수 있다. 아르곤과 같은 비활성 가스는 플라즈마를 점화하는데 필요한 전압을 강하하기 위하여 채널 내로 삽입될 수 있다. 자외선 방사는 용기(110)내의 플라즈마를 점화하는 초기 이온화 이벤트를 제공하는 용기(110)내의 자유 전하를 생성하는데에도 사용될 수 있다.
일실시예에서, 짧고 높은 전압전기펄스는 초기 이온화 이벤트를 제공하는 1차 자기 코어에 인가된다. 다른 실시예에서, 짧고 높은 전압전기펄스는 용기(110)내에 또는 위에 위치된 전극 또는 전극들에 인가된다. 점화는 본 발명의 다른 예시된 실시예의 관점에서, 차후 더 상세히 설명된다.
이제 도 2A 및 도 2B와 관련하여, 비록 발명의 원리는 다양한 용기 구성과 물질 성분을 갖는 플라즈마 시스템에 적용되지만, 여기에 예시된 대부분의 실시예는 대칭적이고 고리-형상의 절연 용기에 치우쳐 있다. 따라서, 예시된 실시예는 대칭적이거나 고리-형상의 용기를 포함하는 시스템 또는 절연 물질로 완전히 형성된 용기를 갖는 시스템으로 본 발명의 실시예를 한정하는 것으로 해석되어서는 안된다.
도 2A 및 도 2B는 각각 플라즈마 시스템(200) 일부의 실시예의 평면 단면 개략도 및 측면 단면 개략도이다. 시스템(200)은 용기(210)와 자기 코어(220d)[세개의 선택적인 자기 코어(220a, 220b, 220c)가 점선으로 표시]를 포함한다. 도 2B는 자기 코어(220d)와 용기(210)을 지나는 단면을 도시한다. 시스템(200)의 선택적인 실시예는 두개, 세개 또는 네개 이상의 자기 코어를 포함한다.
용기(210)는 정방형 단면-형상를 갖고 그 안에 플라즈마가 유지될 수 있는 채널(215)로 둘러싸여 있다. 용기(210)는 절연 물질의 단편으로 형성되어 있다. 절연 물질은, 예를 들면 석영, 사파이어, 알루미나(alumina), 알루미늄 나이트라이드, 또는 다른 세라믹 물질이 될 수 있다. 용기(210)를 형성하는데 사용되는 물질은 시스템(200)의 계획된 적용분야에 기반하여 선택될 수 있다. 예를 들면, 물질은 계획된 동작 전력, 플라즈마종 및/또는 요구 순도 수준에 기반하여 선택될 수 있다.
용기(210)는 토로이드형 플라즈마를 제공하고, 정방형 단면을 갖는 원형 도넛 형상을 갖는다. 토로이드형 플라즈마를 제공하는 다른 용기는 다양한 형상을 갖는다. 그러한 형상은, 예를 들면 다음의 모든 형상중 어느 것을 포함할 수 있고 : 타원형 도넛 형상 ; 정방형 도넛 형상 ; 장방형 도넛 형상 ; 및 다각형 도넛 모양, 예를 들면 원형 단면 또는 타원형 단면을 가질 수 있다.
용기(210)는 하나 이상의 가스 유입구와 하나 이상의 유출구를 포함할 수 있다. 다중 출입구는 용기(210)내 플라즈마를 전체적으로 부가적인 제어하는 것을 제공하는 것을 포함할 수 있다. 가스 유동의 제어는, 특히 점화하는 동안, 더욱 상세히 차후에 기술된다.
이제 도 3 내지 도 11에 대해 언급하면, 향상된 점화 장치와 방법을 포함한 발명의 견해가 기술된다. 도 3은 플라즈마 시스템(300)의 실시예의 일부의 평면 개략도이다. 시스템(300)은 용기(310)(부분 도시)와 용기(310)에 인접한 점화전극(330)을 포함한다.
용기(310)는, 시스템(300)의 다른 실시예에서, 예를 들면 선형 채널 또는 토로이드형 채널을 한정하는 형상으로 만들 수 있다. 시스템(300)은 부가적인 점화 전극(330)을 포함할 수 있고[점선으로 도시된 전극(330)에 의해 예시된 바], 전극(330)에 전압을 공급하기 위한 내부연결부(interconnect)(331)를 포함할 수 있다. 다음의 설명은 하나의 점화전극(330) 또는 하나 이상의 전극(330)을 갖는 시스템(300)에 적용가능하다.
전극(330)은 용기(310)내에 한정된 채널과 정렬된 디멘션(dimension) D(점선으로 표시)는 갖는다. 전극(330)의 디멘션 D는 일정 길이를 갖는다. 도 3의 예시에서, 전극(330)은 채널과 정렬된 긴 디멘션의 장방형 형상을 갖는다. 그래서, 이 예시에서, 전극(330)의 디멘션 D의 길이는 장방형의 긴 디멘션과 같다. 하나 이상의 전극(330)이 포함되었을 때, 채널과 정렬된 디멘션의 총 길이는 각각의 전극(330)의 디멘션의 길이의 합이 되는 것이 여기서 이해될 수 있다.
점화 전극의 더 복합적인 분포는 도 3에서 나타난 것과 비교하여 계획될 수 있다. 예를 들면 점화 전극은, 도 3의 선형 분포에 부가되어 측면으로 또는 산재되어 위치할 수 있다. 따라서, 점화 전극의 디멘션의 총 길이는 하나 이상의 점화 전극으로 둘러싸인 채널에 따른 길이와 동일하다.
전압은 채널 내 가스의 점화나 점화를 제공하는 전극(330)에 인가될 수 있다. 시스템(300)은 채널 내 가스에 전기장을 인가하기 위한 점화 전극(330)과 협력하여 사용되는 기준 전극을 포함할 수 있다. 기준 전극은 접지 전극이 될 수 있다. 선택적으로, 점화 전극(330)은 전압이 기준 전극에 인가될 때 접지 전극이 될 수 있다. 기준 전극은 하나 이상의 부분을 포함할 수 있다. 용기 내 전도부를 포함하는 실시예는 기준 전극 또는 점화 전극으로써 용기의 전도부를 이용할 수 있다.
점화 전극(330)은 종전 플라즈마 시스템에서 사용했던 것과 비교하여 향상된 점화를 제공하는 형상, 크기 및/또는 위치를 가질 수 있다. 전극(330)은 용기(310)에 의해 한정되는 채널을 따라 분포된 축전 점화 전하를 제공한다. 긴 전극(330) 및/또는 다중 전극(330)은 채널을 따라 연속적인 또는 거의 연속적인 플라즈마를 동시에 점화할 수 있다.
하나 이상의 전극(330)은, 예를 들면 채널 길이의 약 5 % 보다 더 신장된 채널을 따른 총 길이를 가질 수 있다. 점화 성능은 총 길이의 신장으로 향상시킬 수 있다. 예를 들면, 10%보다 더 신장된 총 길이는 향상된 점화를 제공할 수 있다.
본 발명의 몇몇 바람직한 실시예는 10 % 내지 80 % 또는 그 이상의 범위의 점화 전극의 디멘션의 총 길이를 포함한다. 총 길이는 용기 길이의 100 %가 될 수 있다(토로이드형 용기의 경우, 점화 전극이 적어도 하나의 전기적인 단절을 갖는 동안, 총 길이는, 예를 들면 용기의 중간-채널의 원주인 용기 길이의 100 %가 될 수 있다). 그것은 채널 길이에 대한 전극 길이의 비는 채널 길이의 선택된 한정에 의존하는 종래 기술을 가진 사람에게 명백할 것이다. 편의상, 여기서는 채널 길이는 최대 전극 길이와 동일하게 선택된다. 채널 길이는 중간-채널과 동일하게 선택되는 것이 필요하지 않고 전극은 중간-채널을 넘어 다른 곳에 위치할 수 있다는 것이 명백할 것이다. 선택적으로, 점화 전극은, 본 발명의 원리에 따라, 면적으로 환산되어 정의될 수 있다(점화 전극의 면적은 용기와 접하는 전극의 표면의 면적으로 이해될 수 있다).
따라서, 하나 이상의 점화 전극(330)은 용기(310)의 크기에 비례한 큰 총 면적을 가질 수 있다. 용기 크기에 대한 점화 전극(330)의 면적의 관계는, 예를 들면 용기(310)의 총 외부 또는 내부 표면 면적에 대한 총 전극(330) 면적으로 나타낼 수 있다.
대부분의 실시에서, 총 점화 전극(330)은 용기(310)의 총 외부 표면 면적의 최대 100 %까지 될 수 있다. 그러나 본 발명의 원리는 용기의 외부 표면에 인접하지 않는 곳에 존재하는 점화 전극부로 실시될 수 있는 것이 명백할 것이고, 따라서 100 % 보다 큰 면적비(area ratio)가 가능하다. 또한, 예를 들면 불규칙한 표면을 갖는 점화 전극은 100% 보다 큰 면적비를 제공할 수 있다.
기준 전극 면적에 대한 점화 전극 면적의 최적비가 있기 때문에, 점화 전극을 갖는 용기(310)의 완전한 적용범위는 일반적으로 바람직하지 않다. 그러므로, 점화 전극(330)의 총 면적은, 전극을 갖는 용기(310)의 대부분을 여전히 덮지만 용기(310)의 외부 표면 면적의 50% 미만이 될 수 있다. 몇몇 실시예에서, 점화 전극 및 기준 전극의 총 면적은 용기(310)의 총 내부 표면 면적의 1 % 내지 99 %의 범위에 있다.
시스템(300)의 몇몇 바람직한 실시예에 있어서, 점화 전극(330)과 기준전극의 결합된 총 면적은 용기에 인접한 총 외부 표면 면적의 3 % 내지 75 %의 범위에 있다. 일반적으로, 점화 전극(330) 면적 및/또는 기준 전극 면적의 증가는 시스템(300)의 점화 단계의 동작의 범위를 증가시킬 수 있다.
본 발명에 따른 이익은 두 개, 세 개, 네 개, 또는 그 이상의 점화 전극(330)과 같은 개수를 포함하는 것으로도 제공될 수 있다. 전극(330)은 용기의 길이를 따라 분포될 수 있고, 용기(310)를 따라 균일한 간격으로 위치할 수 있다.
몇몇 실시예에서, 고주파 전압 또는 맥동 전압은 채널 내의 가스를 점화하기 위하여 점화 전극(330)에 인가된다. 더 큰 점화 전극(330) 면적은 초기 플라즈마 방전의 더 큰 밀도를 제공하고 플라즈마 용기의 더 넓은 부분을 통해 초기 플라즈마 방전을 제공하는 축전 결합의 정도를 증가시킬 수 있고, 이에 따라 토로이드형 플라즈마의 구성을 쉽게 할 수 있다.
전극(330)에 인가된 피크 전압(peak voltage)은 500 V 내지 20 kV의 범위, 또는 더 낮거나 높은 전압에 있을 수 있다. 그러므로, 용기(310)의 동작은 하나 이상의 자기 코어에 의해 인가되는 유도 결합 방전에 따른 축전 결합 방전으로 시작될 것이다.
점화 단계 동안에 채널 내의 압력은, 예를 들면 0.001 토르 내지 1000 토르의 범위가 될 수 있다. 자외선(UV)은 용기(310)의 내부 표면에 인접한 광전자를 생성함으로써 점화를 조력하는데 사용될 수 있다.
용기(310)는 다양한 구조를 갖는다. 몇몇 선택적인 구조의 예시는 도 4 내지 도 6에 도시된 본 발명의 실시예와 관련하여 기술된 것이다.
도 4A, 도 4B, 및 도 4C는 각각 플라즈마 시스템(400) 일부의 실시예의 평면 개략도, 측면 단면 개략도(평면 B를 관통한 단면), 및 끝단면도(평면 C를 관통한 단면)이다. 시스템(400)은 채널(415)을 한정하는 용기(410)와, 용기(410)에 인접한 하나 이상의 점화 전극(430)을 포함한다. 용기(410)는 가스 유입구(441)와 유출구(442)를 갖는다.
용기(410)는 선형 형상을 갖고, 선형 채널(415)을 한정한다. 전극(430)은 정방형 형상을 갖는다. 본 발명의 원리에 따른 시스템의 선택적인 실시예는 혼성의 크기, 형상, 및/또는 용기를 따라 일정한 간격의 점화 전극을 포함할 수 있다.
토로이드형 용기를 포함하는 플라즈마 시스템의 몇몇 실시예는 이후에 기술된다. 도 5A, 도 5B, 도 5C 및 5D는 플라즈마 시스템(500A, 500B, 500C, 500D)의 일부의 네개의 실시예의 평면 개략도이다. 도시된 바와 같이, 각각의 시스템(500A, 500B, 500C, 500D)은 용기(510a, 510b, 510c, 510d)와 용기(510a, 510b, 510c, 510d)에 인접한 적어도 하나의 점화 전극(530a, 530b, 530c, 530d)을 포함한다. 용기(510a, 510b, 510c, 510d)는 토로이드형 플라즈마용 원형 채널을 한정하는 원형 형상을 갖는다. 용기(510a, 510b, 510c, 510d)는, 예를 들면 상기 기술된 이익을 획득할 수 있는 절연 물질로 완전히 형성할 수 있다.
점화 전극(530a, 530b, 530c, 530d)은 상기 도 3에 도시된 대로, 채널과 정렬된 디멘션 D를 갖는다. 이러한 실시예에서 점화 전극(530a, 530b, 530c, 530d)의 형상은 원형 채널의 만곡을 따른다. 그러므로, 각각의 점화 전극(530a, 530b, 530c, 530d)의 디멘션 D는, 도시된 바와 같이, 그것과 연관된 점화 전극(530a, 530b, 530c, 530d)의 만곡을 따른다. 따라서, 디멘션 D의 길이는 디멘션 D의 만곡형 경로를 따라 측정된다.
시스템(500A, 500B, 500C, 500D)는, 각각의 경우, 점선 모양으로 나타난, 하나 이상의 점화 전극(530a, 530b, 530c, 530d)을 포함할 수 있다. 상기에 기술된 바와 같이, 일정 면적에서 증대되는 점화 전극(530a, 530b, 530c, 530d)의 개수와 분포는 시스템(500A, 500B, 500C, 500D)의 점화 성능을 향상시킬 수 있다.
도 6은 도 5에서 예시된 것과 유사한 용기(610)를 포함하는 시스템(600)의 실시예 일부의 단면 개략도이다. 시스템(600)은 용기(610)의 상부 표면에 인접한 점화 전극(630)과, 용기(610)의 하부 및 측면 표면에 인접한 기준 전극(640)을 또한 포함한다. 전압 V는 용기(610)내 가스에서 방전을 초기화 하기 위하여 점화 전극(630)과 기준 전극(640) 사이에 인가될 수 있다. 그러므로, 점화하는 동안, 이온화된 전기장은 점화 전극(630)과 기준 전극(640)사이에 나타난다[전기장선(650)으로 표시].
점화 전극(630)은, 예를 들면 금속 박판으로 형성될 수 있고, 용기(610)와 인접한 장소에 위치할 수 있다. 선택적으로 전극(630)은, 예를 들면 후막 또는 박막 증착 기술을 통해 용기(610) 상에 형성될 수 있다.
기준 전극(640)은 용기(610)의 하부, 내부 및 외부 표면에 분리된 부분을 포함할 수 있다. 선택적으로, 상기 부분은 용기(610)을 둘러싸고 있는 단부를 제공하여 결합(점선부로 도시)할 수 있다. 기준 전극(640)은, 점화 전극에 대해 상기에 기술한 것과 동일한 이유로 요구되는 것과 같이, 용기(610) 주위에 위치한 하나 이상의 단선을 갖는다.
시스템의 선택적인 실시예에서, 하나 이상의 점화 전극은 정방형 단면 도넛 -형상 용기의 측부 표면과 인접하여 배치된다. 유사하게, 기준 전극은 상기 용기의 상부 또는 하부 표면에 배치될 수 있다.
본 발명의 원리에 따르면, 보다 큰 점화 전극의 사용은 점화 전극과 플라즈마 사이의 결합효율을 향상시킬 수 있고, 그러므로 더욱 강력한 초기 가스 방전을 제공할 수 있다. 하기 기술한 바와 같이, 향상된 초기 가스 방전은 다양한 공정에서 이익이 될 수 있다.
대부분의 환경에서 플라즈마는, 예를 들면 증가된 플라즈마 밀도와 전자 온도를 감소시키는 플라즈마 임피던스인 음임피던스를 나타낸다. 증가된 초기 플라즈마 밀도와 전자 온도는 플라즈마를 형성 및/또는 유지하는데 요구되는 유도 전기장의 수준을 감소시킬 수 있다. 선형 또는 토로이드형 구조의 크거나 긴 플라즈마 채널을 갖는 플라즈마 용기 내에서, 플라즈마 채널을 따라 강력한 연속 초기 방전을 상당량 발생하는 것은 주플라즈마의 형성을 용이하게 할 수 있다.
본 발명의 점화 이익은, 예를 들면 가스 분자와의 전자 결합 때문에 전자의 손실에 어려울 수 있는 불소 또는 산소와 같은 음전기 가스를 점화할 때 유용하다.
용기 표면의 플라즈마 충격은 주 유도플라즈마의 성형 후에 축전-결합 점화전극에서 전력을 빠르게 제거함으로써 감소될 수 있다. 이것을 달성하기 위해, 본 발명의 원리에 따른 시스템의 몇몇 실시예는 일단 플라즈마가 초기화된 점화 전극으로부터 전력을 제거하는 제어 회로를 포함한다. 표면 부식은 플라즈마가 초기화 된 이후 및 전압이 점화 전극에서 제거되기 전에 강력한 이온의 충격의 결과가 될 수 있다. 따라서, 제어 회로는, 예를 들면 전력 공급기의 전압 파형 및/또는 전류 파형을 측정하거나 플라즈마로부터 빛의 방사를 측정함으로써 플라즈마 점화를 검출하는 것을 포함한다. 그 때 제어 회로는 표면 부식을 제한하기 위하여, 플라즈마를 형성한 후에 점화 전극에서 전력을 제거한다.
전극(630)과 같은 점화 전극은, 절연 플라즈마 용기의 표면에 금속 막을 증착시키거나 적층시킴으로서 형성될 수 있다. 금속막은, 예를 들면 구리나 알루미늄이 포함될 수 있고, 금속 확산이나 전자이동이 관련된 경우, 티타늄, 탄탈, 텅스텐과 같은 내화성 물질, 또는 티탄-질소(Ti-N)와 같은 합금 또는 복합 전도 물질로 만들 수 있다.
도 7은 플라즈마를 초기화할 수 있는 가스 내의 방전을 점화하기 위한 방법의 실시예의 흐름도이다. 방법은 가스 유입구와 유출구를 갖는 용기의 제공을 포함한다(단계 710). 용기는 가스를 담기 위한 채널을 한정한다. 방법은, 유입구를 통 해 채널 내로 가스가 유동하는 것(단계 720), 가스가 채널 내로 유동하는 동안 가스 유입구에 인접한 가스에 이온화된 전기장을 인가하여 채널내의 가스를 점화하는 것(단계 730)을 포함한다.
도 8 및 도 9을 참조하면, 방법(700)을 실시할 수 있는 예시된 장치가 기술된다.
도 8은 가스를 담기 위한 채널을 한정하고 가스 유입구(841)와 유출구(842)를 갖는 선형-형상의 용기(810)을 포함하는 플라즈마 시스템(800)의 실시예이다. 시스템(800)은 용기(810)의 유입구(841)와 유체 연통하는 상류부(880)을 포함한다. 시스템(800)은 용기(810)의 유입구(841)에 인접한 유동 가스에 전기장을 인가하기 위한 가스 유입구(841)에 인접한 적어도 하나의 점화 전극(890)도 포함한다[점화 전극(890)용 두개의 선택적인 위치는 점선 모양으로 나타남].
도시된 바와 같이, 점화 전극(890)은 용기(810)의 표면 또는 상류부(880)의 표면에 위치할 수 있다. 상류부(880)는 예를 들면 가스 이송 도관과 결합하는 플랜지가 될 수 있다. 상류부(880)와 용기(810)는 예를 들면 용융된 석영의 단편과 같은 물질의 단편으로 형성될 수 있다.
하나 이상의 점화 전극(890)은 유입구(841)의 상류 또는 하류가 될 수 있거나 유입구(841)에 중첩될 수 있다. 예를 들면 시스템(800)을 실시한 방법(700)은 향상된 점화를 제공한다. 가스 유입구(841)에 인접한 점화 전극(890)은 용기(810)내로 가스가 유입되는 위치에 근접한 유동 가스를 점화할 수 있다. 이온화된 성분의 유동과 협력한 점화 부분은 전체 채널을 따라 플라즈마를 시드(seed)하는데 도 울 수 있다.
도 9는 가스 유입구(941)와 유출구(942)를 갖는 용기(910)를 포함하는 시스템(900)의 실시예이다. 용기(910)는 가스를 포함하기 위한 채널을 한정한다. 시스템은 가스 유입구(941)와 유체 연통하는 상류부(980)을 포함한다. 시스템(900)은 용기(910)의 유입구(941)에 근접한 유동 가스에 전기장을 인가하기 위한 가스 유입구(941)에 인접한 적어도 하나의 점화 전극(990)을 포함한다.
시스템(900)은 시스템(800)과 비슷한 형상을 갖지만, 토로이드-형상의 용기(910)를 대체하는 선형 용기(810)을 갖는다. 시스템(900)은 방법(700)을 실시할 수 있고, 도 8의 관점에서 기술된 이익을 제공할 수 있다.
상술한 바와 같이, 상류점화부분은 인입되는 가스 흐름에 전자를 시드할 수 있다. 그 때 전자는 채널을 따라 가스와 유동할 수 있고, 예를 들면 유도성 플라즈마 점화를 도울 수 있다. 방법(700) 및 시스템(800, 900)은 플라즈마 시스템 생산 단가의 감소와 용이한 유지보수를 제공하며, 용기(810, 910)의 내부 표면의 감소된 부식을 제공한다.
이제 도 10, 도 11을 참조하면, 플라즈마 시스템의 가스-우회로(gas-bypass) 특징에 의해 용이한 점화와 관련된 본 발명의 관점이 기술된다.
도 10은 플라즈마를 초기화하기 위하여 가스를 점화하기 위한 방법(1000)의 실시예의 흐름도이다. 방법(1000)은 채널을 한정하는 용기를 제공하는 것(단계 1010), 채널의 외부에 유속과 압력을 갖는 가스를 제공하는 것(단계 1020), 가스의 유속의 일부를 채널 내로 유도하는 것(단계 1030), 및 유속의 잔류부분이 채널에서 이격되어 유도중 채널내의 가스를 점화하는 것(단계 1040)을 포함한다.
점화하는 동안, 가스 유동 일부의 우회로는, 예를 들면 성공적으로 점화되는 유속과 압력의 범위를 확장할 수 있다. 채널 내의 가스 점화는 점화하는 동안 채널에 인입되는 유속의 일부를 제한함으로서 용이하게 할 수 있다. 예를 들면, 유속의 일부는 0만큼 작을 수 있다(예를 들면 채널 내의 정체된 가스).
동시에, 채널 내의 압력은 채널 외부의 가스의 압력과 같을 수 있다. 예를 들면, 압력은 플라즈마가 점화된 후 사용되기 위한 요구 동작 압력이 될 수 있다.
방법(1000)은 가스를 점화하기 앞서 용기의 동작을 위한 값으로 유속을 고정하는 것을 포함할 수 있다(단계 1025). 플라즈마가 채널 내에 형성된 후 동작을 위해 요구되는 유속으로 세팅하는 것은 향상된 시스템 안정성을 제공한다. 그러므로, 예를 들면, 점화 전 전체에 걸쳐, 가스 소스에 의해 제공되는 가스 유속은 사용 조건에서 안정화될 수 있고, 사용 압력은 채널 내에서 안정화될 수 있다.
방법(1000)은 채널 내 가스 점화 이후에 유속의 증가부분 또는 전체를 채널 내로 유도하는 것을 포함한다(단계 1050). 그러므로, 점화에서 플라즈마 상태를 동작하는 것으로의 전환은 종래의 방법과 비교하여 빠르고 안정적일 수 있다.
도 11은 방법(1000)을 실시할 수 있는 플라즈마 시스템(1100)의 실시예의 블럭도이다. 시스템(1100)은 가스 유입 도관(1151), 도관(1151)을 통해 유동을 조절하는 우회로 밸브(1171), 우회로 가스도관(1152)를 포함한다. 방법(1000)을 실시하기 위하여 우회로 밸브(1171)는, 예를 들면 인입도관(1151)에서 우회로 가스 도관(1152)까지 가스 유속의 일부 또는 전체를 유도할 수 있다.
유입도관(1151)은 용기(1110)와 유체 연통할 수 있다. 시스템(1100)은 공정챔버(1190) 그리고 용기(1110)및 챔버(1190)와 교환하는 플라즈마 생산 유출 도관(1153)을 포함할 수 있다.
시스템(1100)은 일시적이고 안정된 상태의 가스 유동을 제한하는 오리피스(orifice)(1173)를 포함할 수 있다. 오리피스(1173)는 우회로 도관(1152) 내의 가스 유동 일부의 방향을 가스 인입 도관(1151)으로의 절환을 제공하는 것을 부가할 수 있다.
시스템은 우회로 도관(1152)내에 밸브(1172)를 포함할 수 있다. 우회로 도관(1152)은 플라즈마 생산 유출 도관(1153), 챔버(1190), 및/또는 배기부과 유체 연통할 수 있다.
밸브와 오리피스의 다양한 개수와 유형과 위치가 방법(1000)을 실시하는데 사용될 수 있다는 것은, 플라즈마 시스템 기술 분야에서 숙련된 당업자에게 명백할 것이다. 예를 들면, 시스템(1100)과 관련된 선택적인 실시예는 한개의 우회로 밸브, 한개의 우회로 밸브 및 오리피스 ; 두개의 우회로 밸브 ; 및 두개의 우회로 밸브와 오리피스를 포함할 수 있다.
방법(1000)은 가스 인입 도관(1151)이, 예를 들면 고유속 및 고압력 사용조건에서 공정 가스를 이송할 때 점화를 제공할 수 있다. 예를 들면, 가스 인입 라인은 6 slm(Standard liters per minute : 분당 표준 리터)의 O2 의 유속과 0.6 slm의 N2 의 유속이 결합된 O2 와 N2의 혼합물을 포함하는 가스를 갖는 2 토르 압력으로 가 스를 제공할 수 있다. 방법(1000)은 예를 들면 이러한 조건 하에서 확실한 점화를 제공할 수 있다.
도 12 내지 도 14를 참조하면, 상기 기술된 바와 같이, 플라즈마 시스템의 향상된 냉각을 위한 방법과 장치가 다음에 기술된다. 냉각 특징은, 본 발명의 원리에 따라, 절연 플라즈마 용기에 적용될 때 특별한 이익이 된다.
도 12는 플라즈마 용기에서 이격되어 열전달을 조력하는 부품을 포함하는 시스템(1200)의 실시예의 일부의 단면도이다. 시스템(1200)은 용기(1210), 용기(1210)에 인접한 히트싱크(1220), 용기(1210)과 히트 싱크(1220)사이에 배치된 열계면(1230)[기계적인-수용층(mechanical-accommodation layer)이라고도 함]을 포함한다. 히트 싱크(1220)는 예를 들면 점화 전극(630) 및/또는 기준 전극(640)(도 6에 도시)같은 전극과 같은 역할도 할 수 있다. 히트 싱크는 히트싱크에 접하여 또는 인접하여 흐르는 물, 기름, 또는 공기와 같은 냉각 유동체에 의해 냉각될 수 있다.
시스템(1200)은 히트 싱크(1220)에 압력을 인가하는 것을 제어할 수 있는 용수철-부하 메커니즘(1290)을 포함할 수 있다. 용수철-부하 메커니즘(1290)의 몇몇 실시예는 차후에 기술된다.
열계면(1230)은 균등질의 성분을 갖는 물질을 포함할 수 있다. 예를 들면 열계면(1230)의 물질은 단일물질 또는 예를들면 합성 또는 적층 물질과 같은 혼합 물질일 수 있다. 열계면(1230)은 히트 싱크(1220)과 용기(1210)사이의 공간을 불연속적으로 또는 균일하게 채울 수 있다. 몇몇 선택적인 실시예에 있어서, 하나 이상의 경로가 열계면(1230)과 용기(1210)사이에 있는 점화 전극에 전기적인 접촉을 위한 수단을 제공하기 위하여 열계면(1230)내에 포함된다.
열계면(1230)은 열계면이 히트 싱크(1220)에 의해 가해지는 압력, 그리고 압력에서의 변화를 수용할 수 있게 하는 하나 이상의 기계적인 특성을 갖는다. 상기 특성은 탄성 및 소성 변형 작용을 포함할 수 있다. 열계면(1230)은 다양한 정도의 탄성과 유연성을 가질 수 있다. 예를 들면, 열계면(1230)은 변형될 수 있다. 변형 작용은 열로 야기되는 시스템(1200)의 체적 변화에 적응하는 것을 도울 수 있다.
열계면(1230)은 상이한 열적 작용 및 기계적인 작용을 갖는 물질을 포함하는 합성물 또는 적층물이 될 수 있다. 예를 들면, 열계면(1230)은, 예를 들면 폴리머의 변형 거동과 금속의 열 전도 거동을 획득하기 위한 폴리머 및 금속 부품을 포함할 수 있다.
시스템(1200)의 몇몇 선택적인 실시예에 있어서, 열계면(1230)은 하나 이상의 층을 포함한다. 이러한 실시예에 있어서, 열계면(1230)은 다수의 접촉 위치에서 히트 싱크(1220) 및 용기(1210) 모두와 기계적으로 통하는 변형부(1231)를 포함한다. 변형부(1231)는 단단하거나 유연할 수 있고, 탄성 및/또는 소성 변형될 수 있다. 예를 들면, 변형부(1231)는 조립부품 및/또는 예를 들면 열 효과로 인한 시스템(1200)내의 체적 변화에 적응하는 것을 도와주도록 변형될 수 있다.
열계면(1230)은 부분적으로, 변형부(1231)와 히트 싱크(1220) 및/또는 용기(1210) 사이의 접촉에 개재될 수 있는 추가부(1232, 1233, 1234)를 포함할 수 있다. 추가부(1232, 1233, 1234)의 몇몇 실시예는 차후 기술된다.
열계면(1230), 히트 싱크(1220), 및 용기(1210)의 탄성부는 히트 싱크(1220)과 용기(1210)사이의 공간을 한정한다. 상기 공간은 열 효과로 인한 부품 이동을 조절할 수 있다. 상기 공간은 열계면(1230)의 탄성부의 변형의 범위를 넓힐 수 있다.
도 13과 도 14를 참조하면, 변형부(1231)는 다양한 물질과 구조를 포함할 수 있다. 도 13은 용기(1220)의 일부와 도 12의 플라즈마 시스템(1200)의 보다 상세한 실시예의 냉각-관련 부품의 분해사시도이다. 상기 실시예에서, 변형층(1231)은 탄성중합체 층(1231a)이다. 탄성중합체 층(1231a)은 탄성중합체 물질의 간격 부분을 포함하고 있다. 선택적으로, 변형층(1231)은 표면이나 이웃한 물질의 표면에 적합한 적합층이 될 수 있다.
열계면(1230)은, 예를 들면 플라즈마에 의해 발산된 자외선(UV)이 탄성 중합체 물질에 도달하여 피해를 주는 것을 차단하는 빛-차단층(1234a)도 포함할 수 있다. 자외선은 바람직하지 않은 오존의 형성에도 원인이 될 수 있다. 빛-차단층(1234a)은 용기(1210)의 냉각도 조력할 수 있다.
빛-차단층(1234a)은, 예를 들면 물질의 박판이 될 수 있거나, 예를 들면 용기(1210)에 적용된 코팅이 될 수 있다. 빛-차단층(1234a)은 구리나 다른 적절한 물질과 같은 금속으로 형성될 수 있다.
탄성 중합체 물질은 세라믹 또는 금속 물질로 부분적으로 채워질 수 있다. 그러한 물질은 탄성 중합체 물질의 열적 기계적 특성을 개질할 수 있는, 예를 들면 알루미늄 옥사이드, 알루미늄 나이트라이드, 붕소 나이트라이드, 다이아몬드, 및 흑연을 포함할 수 있다.
탄성 중합체 층(1231a)의 구성은 인장 스트레스, 압축 스트레스 및/또는 전단 스트레스에 대응하여는 변형을 허용할 수 있다. 탄성 중합체층(1231a)은 선택적으로 슬릿(slit) 및/또는 틈을 포함할 수 있다.
탄성 중합체 층(1231a)은 물질의 박판에서 얻을 수 있거나 간단한 화합물로서 형성될 수 있다. 탄성 중합체 층(1231a)의 두께는 25 마이크로미터 또는 그 이하에서 2 밀리미터 또는 그 이상의 범위가 될 수 있다. 바람직한 실시예에 있어서, 탄성 중합체 층(1231a)은 용기(1210)의 외부 표면 면적의 약 25 % 에서 100 % 까지 덮고 있다.
도 12의 시스템(1200)의 다른 실시예에 있어서, 열계면(1230)은 울(wool), 예를 들면 구리울, 소결 금속, 무늬가 있는 금속, 및/또는 용수철을 포함한다. 금속 물질은 많은 탄성중합체 물질이 가능한 것보다 더 큰 열 전도성과 더 큰 온도 정격을 제공할 수 있다.
도 14A, 도 14B, 및 도 14C를 참조하면, 금속으로 형성된 탄성부(1231)의 몇몇 실시예가 기술되어진다.
도 14A 및 도 14B는 열계면(1230)의 변형부(1231)에 포함될 수 있는 탄성형상(1300a, 1300b)의 실시예의 측면도이다. 탄성형상(1300a, 1300b)은, 도시된 바와 같이 또는 다양한 다른 형상으로 도시된 바와 같이, 상기 기술된 열로 야기된 부품 이동에 응답하여 구부러질 수 있다. 탄성 형상(1300a, 1300b)은 금속 물질로 형성될 수 있다.
도 14C는 열계면(1230)의 변형부(1231)로서 역할을 할 수 있는 용수철부(1231b)의 실시예의 사시도이다. 상기 부(1231b)는 다중 외팔보 지시물(multiple cantilevered finger)을 포함한다. 지시물은, 예를 들면 구리나 베릴륨-구리(beryllium-copper)로 형성될 수 있다. 외팔보 지시물은, 예를 들면 최종 형상으로 만곡하는 지시물의 소성 변형에 따른 전기화학적 식각에 의해 형성될 수 있다. 변형부(1231)의 소성 변형은 용기(1210)에 최종적으로 맞을 때까지 발생한다. 용수철부(1231b)는, 예를 들면 열전달을 조력하기 위하여 히트 싱크(1220) 및/또는 용기(1210)에 땜질이 되거나 납땜이 되거나 접착성있는 결합이 될 수 있다. 몇몇 실시예에 있어서, 상기 부(1231b)는 약 0.25 밀리미터에서 25.0 밀리미터의 간극 두께를 제공한다.
선택적으로, 열계면(1230)은 탄성 중합체 및 금속 부품 모두를 포함할 수 있다. 예를 들면, 추가부(1232, 1233) 중에 하나 또는 양쪽은 용수철부(1231b)와 히트 싱크(1220)와 용기(1210)사이에 접촉을 개재하는 것을 포함하는 탄성 중합체 층일 수 있다. 그러한 구조는 적어도 두개의 이점을 제공한다 : (1) 몇몇 금속 물질의 열전도와 고온내성 ; (2) 몇몇 탄성 중합체 물질의 유연 특성, 탄성 특성, 계면 특성.
열계면(1230)은 용기(1210)과 히트싱크(1220)사이의 제어된 두께를 갖는 간극을 제공하는 공간의 역할도 할 수 있다. 상기 공간은 가스(예를 들면 공기 또는 헬륨)로 채워질 수 있다. 가스는, 예를 들면 약 100 마이크로미터 이하의 두께를 지나 열전달을 제공할 수 있다. 다른 진보는 25 마이크로미터 이하 및 12 마이크로미터 이하의 두께에서 행해지고 있다.
선택적으로, 공간은 열전달을 돕기 위한 유동체 또는 반유동체(그리스 등)로 채워질 수 있고, 유동의 체적은 작은 간격 두께로 인해 작아진다.
히트 싱크(1220)(여기에서 냉각 쉘이라고 언급됨)는 용기(1210)에 가까이 근접하여 있을 수 있고, 유체의 순환에 의해 직접 냉각 및/또는 다른 열계면을 통해 다른 냉각면으로 전도성있게 냉각될 수 있다. 히트 싱크(1220)는 공기에 의해서도 냉각될 수 있다. 히트 싱크(1220)는 예를 들면 금속, 세라믹 그리고 복합물질의 일정한 결합[예를 들면 구리, 알루미늄, 황동, 알루미늄 나이트라이드, 알루미늄 실리콘 카바이드(aluminum silicon carbide), 및 메탈 세라믹 복합 물질(metal matrix ceramic composite)]으로 형성될 수 있다.
히트 싱크(1220)는 전기적으로 전도성이 있는 물질로 형성되고, 그때 적어도 하나의 절연 간격이 토로이드-형상 용기(1210)용 히트 싱크(1220)에 존재하여야 한다. 히트 싱크(1220)내의 다중 절연 간격은 절연 간격을 가로질러 피크 전기장을 감소시키는 것을 포함할 수 있다. 히트 싱크와 플라즈마 용기(1210) 사이의 기계적인 근접으로 인하여, 히트 싱크의 절연 간격에서의 전기장은 플라즈마 용기(1210)와 결합될 수 있고, 플라즈마 용기(1210)내의 집중되는 높은 전기장의 원인이 되고 플라즈마 피해에 상응하게 된다. 하나 이상의 전기 간격을 갖는 히트 싱크(1220)의 사용은 다중 간격을 가로지르는 유도 전기장을 배포할 수 있고, 그에 의해 피크 전기장이 감소하고 플라즈마 피해가 감소될 수 있다. 다중 히트 싱크 부분으로부터 히트 싱크(1220)를 형성하는 것은 플라즈마 시스템(1200)의 조립을 또한 단순하게 할 수 있다.
히트 싱크(1220)는 다중 강체 단품으로 형성될 수 있다. 선택적으로, 히트 싱크는 열로 유도된 크기 및 형상 변형의 적응을 더 조력하기 위하여 다중 컴플라이언트 단편(muntiple compliant segment)으로 형성될 수 있다.
히트 싱크(1220)는 용기(1210)의 전체를 완전히 둘러싸는 적어도 두개의 단편을 되도록이면 포함한다. 히트 싱크(1220)의 분할은 토로이드형 구조과 같은 복합적인 구조를 갖는 용기(1210)을 포함하는 시스템(1200)의 조립을 조력할 수 있다. 토로이드형 절연 용기에서, 두개의 단편은 조립된 히트 싱크(1220)내에서 간격을 제공하는 "C" 형상이 될 수 있다. 히트 싱크(1220)는 구리나 알루미늄같은, 전기적으로 전도성있는 물질로 만들 수 있다. 정방형 단면을 갖는 트로이드형 용기를 위하여, 도 6에 예시에 나타난 대로, 히트 싱크(1220)는 토로이드형 구조 각각의 4개의 "표면"을 위한 4개의 단편(예를 들면, 토로이드형 구조의 상부, 하부, 내부 및 외부)인 16개의 단편을 되도록이면 포함한다.
다시 도 12를 참조하고 상기 언급한 바에 따르면, 시스템(1200)은 플라즈마 용기(1210)의 외부에 접하여 압축된 상태로 히트 싱크(1220)와 열계면(1230)을 유지하는 용수철-부하 메커니즘(1290)을 포함할 수 있다. 용수철-부하 메커니즘(1290)은 나사 또는 꺾쇠(clamp)와 같은 히트 싱크접촉(1291)과 용수철(1292)을 포함할 수 있다.
용수철(1292)은 접촉(1291)을 통해 히트 싱크(1220)에 압력을 인가한다. 인가된 압력은 용기(1210), 열계면(1230) 및 히트 싱크(1220) 사이에 기계적 및 열적 상호 작용을 유지하고 제어하는데 선택될 수 있다. 하나 이상의 꺾쇠나 나사(1291)가 사용될 수 있다.
하나 이상의 용수철(1292)은 열효과로 야기된 체적 변화를 조절할 수 있다. 그러므로, 인가된 압력은 온도 변화 중 효과적으로 일정 수준으로 유지할 수 있다. 용수철-부하 메커니즘(1290)은 하나 이상의 용수철(1292)의 작용에 의해 유지되는 요구되는 힘을 획득하여 단단히 죌 수 있다.
용수철-부하 메커니즘(1290)은, 예를 들면 절연 토로이드형 용기와 히트 싱크(1220) 사이의 열계면(1230)에 압력을 제어하여 인가할 수 있다. 열계면(1230)은 용기(1210)과 히트 싱크(1220)사이의 열적 접촉을 유지하기 위하여 용수철-부하 메커니즘(1290)에 의해 능동적으로 압축된다.
토로이드형 절연 플라즈마 용기는 상대적으로 복잡한 외형 구조를 갖고, 탈열을 촉진하기 위하여 얇은 벽체를 가질 것이다. 절연 플라즈마 용기는 히트 싱크 물질에 적합한 열-기계적인 특성을 갖지 않는 물질로 만들어 질 수도 있다. 상기 기술한 대로, 그러한 용기를 포함하는 플라즈마 시스템(1200)의 실시예는 분할된 히트 싱크(1220)을 유리하게 포함할 수 있다.
시스템(1200)의 바람직한 일실시예에 있어서, 시스템(1200)은 분할되고, 상기 실시예에서 절연 물질로 형성된 용기(1210)의 대부분 또는 모든 표면을 덮는 히트싱크(1220)를 갖는다 ; 히트 싱크(1220)는 용수철-부하 메커니즘(1290)을 사용하는 제어된 힘의 크기로 용기(1210)에 접하여 압축된다 ; 열계면(1230)은 용기(1210)와 같은 모양이 된다. 시스템(1200)의 상기 실시예는 절연 플라즈마 용기를 갖는 확실한 토로이드형 플라즈마 소스를 제공한다.
도 15는 플라즈마 시스템(1500a)의 실시예의 일부의 단면도이다. 시스템(1500a)은 정방형 단면 용기(1501a)와 용수철-부하 메커니즘(1290a)에 의해 서로 결합된 네개의 히트 싱크 단편(1530a)을 포함한다. 스프링-부하 메커니즘(1290a)은 단편(1520a)중 하나와 나사결합된 나사(1291a) 및 나사(1291a)의 머리와 이웃 단편(1530a) 사이에 위치한 용수철(1292a)을 포함한다. 용수철-부하 메커니즘(1290a)의 선택적인 구성은 해당 기술 분야에서 숙련된 당업자에게 명백할 것이다.
히트 싱크 단편(1530a)과 용수철-부하 메커니즘(1290a)의 결합은 자립(self-supporting) 냉각 쉘을 제공한다. 자립 냉각 쉘은 결합된 용기(1501a)와 냉각 쉘의 열-부정합(thermal-mismatch)으로 야기된 체적 변화를 조절하는 동안 용기(1501a)의 효과적인 냉각을 제공한다.
도 16은 상기 기술된 본 발명의 구조상 특징을 포함하고, 방법(1000)을 실시할 수 있는 플라즈마 공정 시스템(1500)의 실시예의 블럭도이다. 시스템(1500)은 교류 전력 공급기(1560)와 전기적인 교환을 하는 적어도 하나의 자기 코어(1520)로 둘러싸인 절연 용기(1510)을 포함한다. 절연 용기(1510)는 가스 유입구(1541)와 유출구(1542)를 갖는다. 열여섯개의 히트-싱크 단편(1530)은 절연 용기(1510)에 둘러싸여 위치되, 예를 들면 점화 전극 및/또는 기준 전극같은 역할을 할 수 있다. 선택적으로, 점화 전극 및/또는 기준 전극은 단편(1530)과 용기(1510)사이에 존재할 수 있다.
하나 이상의 자기 코어(1520)는 절연 용기(1510)에 의해 한정되는 채널과 정 렬된 전기장과 플라즈마 흐름을 유도하기 위하여 변압기의 1차 코일과 같은 역할을 하는 권선을 가지고 있다. 채널 내의 플라즈마는 변압기의 2차 회로를 완성한다. 토로이드형 플라즈마를 제공할 수 있는 자기 코어와 관련된 교류 전력 공급기의 몇몇 실시예는 스미스 등의 미합중국 특허 제 6,150,628호에 기술되어 있다.
용기(1510)는 정방형의 단면을 갖고, 4개의 히트-싱크 단편(1530)은 용기(1510)에 의해 한정되는 각각의 4개의 표면에 인접한다(예를 들면 상부, 하부, 내부, 및 외부 표면). 히트 싱크의 분할은 조립을 조력하고, 열로 야기된 체적 변화를 조절한다.
시스템(1500)은 유입구(1541), 우회로 가스 도관(1552), 우회로 밸브(1571), 및 절연용기(1510)의 유출구(1542)와 교환하는 공정 챔버를 한정하는 공정 용기(1590)와 교환하는 가스 인입 도관(1551)도 포함한다. 방법(1000)의 실시를 위하여, 우회로 밸브(1571)는 플라즈마 점화중에 인입 도관(1551)으로부터 우회로 가스 도관(1552)으로 가스 유동의 일부 또는 전부를 유도할 수 있다. 시스템(1500)은 도관(1511)에서 유입구(1541)로 가스의 유동을 전달하기 위한 유입구(1541) 내에 또는 근처에 가스 샤워헤드(1551)를 포함할 수 있다.
가스 헤드샤워(1551)의 포함은 플라즈마의 안정성과 용기의 외부 표면의 열분포의 균일성을 향상시킬 수 있다. 가스 샤워헤드(1551)는 유입구(1541)를 통해 토로이드형 채널 내로 유도되는 가스의 분포를 향상시킬 수 있다.
도 17A 및 도 17B는 가스 유동을 주로 플라즈마 용기의 표면을 따라 유도하기 위하여 구성된 가스 샤워헤드의 예를 도시한다. 도 17A는, 예를 들면 도 16의 시스템(1500)의 샤워헤드(1553)로 사용될 수 있는 가스 샤워헤드(1553a)의 실시예를 나타낸다. 샤워 헤드(1553a)는 더욱 소형 및/또는 소량의 구멍(1620a) 양쪽으로 구멍(1610a)의 열(row)을 한정한다. 인입 가스의 대부분은 구멍(1610a)의 열(row)을 통해 가스 유입구(1541)내에서 혹은 가까이에서 용기의 상부 및 하부 내부 표면을 따라 지나간다.
도 17B는, 예를 들면 회로 단면을 갖는 용기와 결합하는데 사용되는 가스 샤워헤드(1553b)의 실시예를 나타낸다. 샤워헤드(1553b)는 더욱 소형 및/또는 소량의 구멍을 둘러싸는 구멍(1610b)의 환형을 한정한다. 인입 가스의 대부분은 구멍(1610b)의 환형을 통해 용기의 내부 표면을 따라 지나간다. 하나 이상의 가스 샤워헤드에 의해 제공되는 향상된 가스 분포는 용기 내에서 향상된 플라즈마 안정성과 더욱 균일한 전력분포를 제공할 수 있다. 난류의 생성을 제한하는 샤워헤드는 용기 벽체의 부식을 감소시킬 수 있다.
유도 전기장은 유도-결합 토로이드형 플라즈마 용기의 내부 반경에서 가장 클 수 있고 내부 반경 벽체에 보다 높은 열 분포를 가져다 준다. 플라즈마는 토로이드형 플라즈마 채널의 중앙에 머무르는 경항이 있을 수 있기 때문에, 플라즈마 채널의 측벽을 따라 주입된 인입 가스는 내부 반경 벽체를 향한 플라즈마의 이동을 감소시킬 수 있다. 토로이드형 플라즈마 주위에 내부 반경 벽체로의 인입 가스 유동은 또한 내부 표면으로부터 플라즈마를 상승시킬 수 있고, 벽체 표면에 더욱 균일한 열분포와 플라즈마 용기 상에 피크 전력을 저감시킨다.
플라즈마 시스템(1500)이 용융된 석영 용기를 포함할 때, 시스템(1500)은 되도록이면 석영 플라즈마 용기 내부의 최대 온도를 약 900 ℃ 내지 1000 ℃로, 예를 들면 950 ℃ 미만으로 제한하는 조건 하에서 동작한다. 비교적 높은 온도에서, 피해를 포함한 미시적인 변화는 석영의 내부 표면상에 나타날 수 있다. 그러한 미시적인 변화는 바람직하지 않는 미립자와 다른 오염물을 생성할 수 있다.
용기의 열은 인입 가스가 플라즈마를 용기의 내부 표면으로 미는 경향이 있는 지점에서 가장 클 수 있다. 게다가, 내부 표면을 갖는 플라즈마의 더 큰 상호 작용은 열과 관련된 표면 피해를 악화시킬 수 있다. 그러므로, 용기를 따라 다른 위치에 설치하기 보다는 저온에서 그러한 위치를 유지하는 것이 바람직할 수 있다. 예를 들면, 950 ℃에 근접한 또는 그 이상의 온도에 벽체 표면의 잔류를 허용하지만 950 ℃ 미만의 온도에서 이러한 임계의 벽체 표면을 유지하는 것이 요구될 수 있다.
이제 도 18A 및 도 18B를 참조하면 점화 전극의 선택적인 실시예는 열층(1230)의 성능을 향상시킬 수 있다. 도 18A는 플라즈마 시스템의 실시예의 일부의 단면도를 나타낸 것이다. 상기 시스템은 용기 표면상에 또는 인접한 점화 전극(630a), 열계면(1230b), 히트 싱크(1530b), 및 전극 스페이서(spacer)(632)를 포함한다. 스페이서(632)는 열계면(1230b)과 용기 표면 사이에 전극(630a)에 의해 생성된 간격을 채울 수 있다.
도 18B는 플라즈마 시스템의 실시예의 일부의 단면도를 나타낸 것이다. 시스템은 세라믹 기판(635) 상에 점화 전극(630b)을 포함한다. 결합된 전극(630b)과 세라믹 기판(635)은 용기 표면 상에 또는 인접하여 위치한다. 시스템은 히트 싱크(1530b)와 세라믹 기판(635) 사이에 배치된 열계면(1230b)을 포함할 수 있다. 제2열계면(1230b)은 용기 표면과 세라믹 기판(635) 사이에 배치될 수 있다. 제2열계면(1230b)은 결합된 점화전극(630b)과 세라믹 기판(635)의 표면에 적합한 제2열계면(1230b)을 허용하는데 적합한 특성을 가질 수 있다.
점화 전극(630b)은 세라믹 기판(635) 상에 증착되거나 적층된 금속 박막일 수 있다. 질화알미늄(AlN)이나 알루미나(AL2O3)와 같은 고 열전도성을 갖는 세라믹 물질은 기판 재료로 택해질 수 있다. 열적 전도성있는 세라믹 기판(635)은 절연 플라즈마 용기와 히트싱크(1530b)사이에 열구배를 감소시킬 수 있고, 전극(630b)과 히트 싱크(1530b)사이에 전기적인 절연을 제공한다. 세라믹 기판(635)은, 예를 들면 열계면(1230b)의 신뢰성을 향상시킬 수 있다.
본 발명의 다른 실시예는 플라즈마 소스 구간을 포함하고 절연 토로이드형 용기와 내장된 직류 전력 공급기를 갖는, 통합된 토로이드형 플라즈마 시스템을 트징으로 한다 ; 플라즈마 소스 구간은 디바이스로부터 분리가능하다. 플라즈마 용기및 연관된 냉각 구조는 내장된 전력 공급기의 분리 없이 디바이스 밑판으로부터 제거를 허락하도록 구성된다. 이는 플라즈마 용기를 시스템의 소모품으로서 시스템 사용자의 위치에서 교환하는 것을 허락한다.
본 발명이 특정한 바람직한 실시예를 참조하여 상세히 묘사되고 기술되었지만, 기술 분야에서 숙련된 당업자가 첨부된 청구범위에 기재된 본 발명의 사상 및 요지로부터 벗어나지 않고 본 발명에 대한 다양한 수정 및 변경을 가할 수 있다는 것이 인식되어야 한다. 예를 들면, 특정한 크기 또는 길이의 점화 전극보다는, 시스템은 임의의 치수와 배치된 전극을 효과적으로 제공하는 용기를 따라 위치해 있는 두개 이상의 점화 전극을 포함할 수 있다. 전극은, 예를 들면 한 전극의 가장자리와 5센티미터보다 크지 않은 이웃한 전극의 가장자리 사이의 최대 거리로 일정한 간격을 유지할 수 있다.

Claims (77)

  1. 토로이드형 유도결합형 플라즈마 처리 시스템용 플라즈마 점화 장치에 있어서,
    폐쇄형 채널을 한정하는 용기; 및
    상기 용기에 인접하고 상기 채널의 인접부에 정렬된 디멘션을 갖는 적어도 하나의 축전결합형 점화 전극-상기 적어도 하나의 축전결합형 점화 전극의 디멘션의 총 길이는 상기 채널 길이의 10% 이상임-을 포함하고,
    상기 적어도 하나의 점화 전극은 가스의 플라즈마 방전을 개시하기 위하여 상기 채널 내의 가스에 전기장을 인가할 수 있는 플라즈마 점화 장치.
  2. 제1항에 있어서,
    상기 채널은 토로이드형 구조를 형성하고, 상기 채널의 총 길이는 상기 토로이드형 구조를 둘러싼 하나의 회로의 길이인 플라즈마 점화 장치.
  3. 제1항에 있어서,
    상기 적어도 하나의 점화 전극의 상기 디멘션의 상기 총 길이는 상기 채널의 상기 총길이의 20% 보다 큰 플라즈마 점화 장치.
  4. 제1항에 있어서.
    상기 용기는 절연 물질로 구성된 플라즈마 점화 장치.
  5. 제1항에 있어서,
    상기 용기는 적어도 하나의 금속부와, 금속부의 간격을 충진하는 적어도 하나의 절연부를 포함하는 플라즈마 점화 장치.
  6. 제1항에 있어서,
    상기 적어도 하나의 점화 전극은 서로 일정 간격 떨어져 있는 적어도 두개의 점화 전극을 포함하는 플라즈마 점화 장치.
  7. 제6항에 있어서,
    상기 적어도 두개의 점화 전극은 상기 채널을 따라 일정 간격으로 떨어져 있는 플라즈마 점화 장치.
  8. 제7항에 있어서,
    상기 용기 내에서 플라즈마가 점화된 후 상기 전기장을 제거하도록 구성된 점화 제어 회로를 더 포함하는 플라즈마 점화 장치.
  9. 제1항에 있어서,
    상기 용기에 인접한 기준 전극을 더 포함하고, 적어도 하나의 점화 전극과 상기 기준 전극은 상기 가스의 플라즈마 방전을 개시하기 위하여 상기 채널 내의 상기 가스에 전기장을 인가하도록 조력하는 플라즈마 점화 장치.
  10. 제9항에 있어서,
    상기 기준 전극은 상기 용기의 온도를 효과적으로 제한하기 위하여 상기 용기와 열소통하는 플라즈마 점화 장치.
  11. 제9항에 있어서,
    상기 용기는 적어도 네개의 표면을 갖고, 상기 기준 전극은 적어도 상기 네개의 표면의 세개의 일부를 덮고, 상기 점화 전극은 상기 용기의 적어도 네개의 표면의 잔존 표면의 적어도 일부를 덮는 플라즈마 점화 장치.
  12. 제1항에 있어서,
    상기 용기의 단면은 일직선부를 갖는 플라즈마 점화 장치.
  13. 제12항에 있어서,
    상기 용기의 단면은 장방형 형상을 갖는 플라즈마 점화 장치.
  14. 제12항에 있어서,
    상기 용기는 적어도 하나의 평탄 외부 표면을 갖고, 상기 점화 전극은 상기 평탄 외부 표면의 일부를 덮는 플라즈마 점화 장치.
  15. 제14항에 있어서,
    상기 평탄 외부 표면은 환상 형상을 갖는 플라즈마 점화 장치.
  16. 제1항에 있어서,
    상기 용기의 단면은 만곡부를 갖는 플라즈마 점화 장치.
  17. 제16항에 있어서,
    상기 용기의 단면은 타원 형상을 갖는 플라즈마 점화 장치.
  18. 제1항에 있어서,
    상기 채널 내에서 발진하는 전기장의 형성을 지원하기 위하여 상기 용기의 일부를 적어도 부분적으로는 둘러싸고 있는 적어도 하나의 자기 코어를 더 포함하는 플라즈마 점화 장치.
  19. 제1항에 있어서,
    상기 용기는 선형의 형상을 갖고, 근접단부와 말단부를 갖는 플라즈마 점화 장치.
  20. 제1항에 있어서,
    상기 적어도 하나의 점화 전극은 세라믹 기판과, 상기 세라믹 기판상에 형성되는 전도박막을 포함하는 플라즈마 점화 장치.
  21. 토로이드형 유도결합형 플라즈마 처리 시스템용 플라즈마 점화 장치에 있어서,
    폐쇄형 채널을 한정하는 용기; 및
    상기 채널 내의 가스에 전기장을 인가하기 위하여 상기 용기에 인접한 적어도 하나의 축전결합형 점화 전극을 포함하고,
    상기 적어도 하나의 축전결합형 점화 전극은 상기 용기의 총 외부 표면의 1% 를 초과하는 면적을 갖는 플라즈마 점화 장치.
  22. 제21항에 있어서,
    상기 적어도 하나의 점화 전극의 총 면적은 상기 용기의 상기 총 외부 표면 면적의 5%를 초과하는 플라즈마 점화 장치.
  23. 제21항에 있어서,
    상기 적어도 하나의 점화 전극의 총 면적은 상기 용기의 상기 총 외부 표면 면적의 10%를 초과하는 플라즈마 점화 장치.
  24. 제21항에 있어서,
    상기 용기는 절연 물질로 구성되어 있는 플라즈마 점화 장치.
  25. 제21항에 있어서,
    기준 전극을 더 포함하고, 상기 기준 전극 및 적어도 하나의 점화 전극의 총 표면 면적은 상기 용기의 총 외부 표면 면적의 2% 내지 100%의 범위에 있는 플라즈마 점화 장치.
  26. 제21항에 있어서,
    상기 용기는 적어도 하나의 금속부와 금속부의 간격을 충진하는 적어도 하나의 절연부를 포함하는 플라즈마 점화 장치.
  27. 제21항에 있어서,
    상기 채널은 토로이드를 정의하는 플라즈마 점화 장치.
  28. 토로이드형 유도결합형 플라즈마 처리 시스템용 플라즈마 점화 장치에 있어서,
    폐쇄형 채널을 한정하는 용기; 및
    상기 용기에 인접한 적어도 세개의 축전결합형 점화 전극을 포함하고,
    다수의 상기 축전결합형 점화 전극은 상기 플라즈마를 초기화하기 위하여 채널 내의 가스에 전기장을 인가할 수 있는 플라즈마 점화 장치.
  29. 제28항에 있어서,
    상기 채널은 토로이드를 한정하고, 상기 적어도 세개의 점화전극은 상기 토로이드 주위에 분포하는 플라즈마 점화 장치.
  30. 제28항에 있어서,
    상기 적어도 세개의 점화 전극은 상기 용기를 따라 분포하는 플라즈마 점화 장치.
  31. 토로이드형 유도결합형 플라즈마 처리 시스템용 플라즈마 점화 장치에 있어서,
    가스 유입구와 가스 유출구를 갖고 가스를 포함하기 위한 채널을 한정하는 용기; 및
    상기 용기의 유입구에 근접한 유동 가스에 전기장을 인가하기 위한 상기 가스 유출구에 인접한 축전결합형 점화 전극을 포함하는 플라즈마 점화 장치.
  32. 제31항에 있어서,
    상기 채널은 토로이드를 한정하는 플라즈마 점화 장치.
  33. 제31항에 있어서,
    상기 점화 전극은 상기 가스 유입구로부터 상류에 위치한 플라즈마 점화 장치.
  34. 플라즈마 장치에 있어서,
    절연 물질로 형성되고 가스를 포함하기 위한 채널을 한정하는 용기;
    상기 용기에 인접한 히트 싱크; 및
    상기 용기와 상기 히트 싱크 사이에 배치되고, 상기 용기와 상기 히트 싱크와 기계적으로 통하는 열계면을 포함하며,
    상기 열계면은 열로 야기된 체적 변화에 응답하여 상기 열계면, 상기 히트 싱크, 상기 용기중 적어도 하나의 움직임을 조절하는 상기 히트 싱크와 상기 용기 사이의 공간을 한정하는 플라즈마 장치.
  35. 제34항에 있어서,
    상기 채널은 토로이드형 구조를 갖는 플라즈마 장치.
  36. 제34항에 있어서,
    상기 히트 싱크는 상기 용기를 충분히 둘러싸고 적어도 하나의 용수철-부하 기작에 의해 서로 결합되어 있는 적어도 두개의 단편을 포함하는 플라즈마 장치.
  37. 제36항에 있어서,
    상기 용기는 토로이드형 형상을 갖고, 상기 히트 싱크는 적어도 네개의 단편을 상기 용기 방향으로 압박하고 상기 용기와 상기 히트 싱크의 열적 부정합을 조절하기 위한 적어도 두개의 용수철-부하 기작에 의해 결합된 적어도 네개의 단편을 포함하는 플라즈마 장치.
  38. 제36항에 있어서,
    상기 히트 싱크와 상기 적어도 하나의 용수철-부하 기작은 자립 냉각쉘을 한정하는 플라즈마 장치.
  39. 제34항에 있어서,
    상기 열계면은 합성 물질, 섬유질 물질, 적층 물질중 적어도 하나를 포함하는 플라즈마 장치.
  40. 제34항에 있어서,
    상기 열계면은 다수의 외팔보 지시물을 포함하는 플라즈마 장치.
  41. 제40항에 있어서,
    상기 다수의 외팔보 지시물은 구리와 베릴륨 중 적어도 하나를 포함하는 플라즈마 장치.
  42. 제34항에 있어서,
    상기 용기는 유전체 물질로 구성되는 플라즈마 장치.
  43. 제34항에 있어서,
    상기 열계면은 다수의 코일을 포함하는 플라즈마 장치.
  44. 제34항에 있어서,
    상기 열계면은 등형 물질을 포함하는 플라즈마 장치.
  45. 제44항에 있어서,
    상기 등형 물질은 상기 용기의 외부 표면 면적의 25 % 내지 100%를 덮는 플라즈마 장치.
  46. 제34항에 있어서,
    상기 공간은 상기 용기로부터 상기 히트 싱크까지 열전달을 위한 가스로 채워지고, 상기 공간은 100 마이크로미터 미만의 두께를 갖는 플라즈마 장치.
  47. 제34항에 있어서,
    상기 공간의 두께는 25 마이크로미터 미만인 플라즈마 장치.
  48. 제34항에 있어서,
    상기 공간의 두께는 12 마이크로미터 미만인 플라즈마 장치.
  49. 제34항에 있어서,
    상기 용기와 상기 열계면 사이에 배치되는 자외선 차단층을 더 포함하는 플라즈마 장치.
  50. 제34항에 있어서,
    상기 열계면은 등형층과 용수철층을 포함하고, 상기 등형층은 상기 히트 싱크와 상기 용기 중 하나와 접촉하는 제1면과 상기 용수철층에 접촉하는 제2면을 갖는 플라즈마 장치.
  51. 제34항에 있어서,
    상기 용기의 유입구에 인접하여 배치되어 상기 용기의 내부 표면을 따라 인입 가스 유동의 대부분을 제어하는 장치를 한정하는 가스 유입 샤워헤드를 더 포함하고, 플라즈마 장치.
  52. 유도결합형 플라즈마 공정 장치에 있어서,
    폐쇄형 채널을 한정하는 절연 토로이드형 용기;
    상기 용기에 인접하고 상기 채널의 인접부에 정렬된 디멘션을 갖는 적어도 하나의 축전결합형 점화 전극-상기 적어도 하나의 점화 전극의 디멘션의 총 길이는 상기 채널의 길이의 10%보다 크고, 이로써 상기 적어도 하나의 점화 전극은 상기 가스 내의 플라즈마 방전을 초기화하기 위하여 상기 채널 내의 가스에 전기장을 인가할 수 있음-;
    상기 용기의 일부를 둘러싸고 있는 주권선과 자기코어를 포함하는 변압기;
    상기 토로이드형 용기내의 플라즈마를 유지하는 주권선에 전력을 공급해주는 교류 전력 공급기; 및
    상기 용기로부터 활성가스종을 받는 공정 챔버를 한정하는 공정 용기를 포함하는 플라즈마 공정 장치.
  53. 플라즈마를 점화하는 방법에 있어서,
    가스 유입구와 유출구를 갖고, 채널을 한정하는 용기를 제공하는 단계;
    상기 유입구를 통해 상기 채널로 가스를 유동시키는 단계;
    상기 가스가 상기 채널 내로 유동하는 동안 상기 가스 유입구 근방의 가스에 이온화된 전기장을 인가함으로서 상기 채널 내의 상기 가스를 점화하는 단계를 포함하는 플라즈마 점화 방법.
  54. 제53항에 있어서,
    상기 채널은 토로이드를 한정하는 플라즈마 점화 방법.
  55. 제53항에 있어서,
    상기 채널 내의 가스를 점화하는 단계는 상기 가스 유입구로부터 상류에 상기 이온화된 전기장을 인가하는 단계를 포함하는 플라즈마 점화 방법.
  56. 플라즈마를 점화하는 방법에 있어서,
    폐쇄형 채널을 한정하는 용기를 제공하는 단계;
    상기 채널의 외부에 유속과 압력을 갖는 가스를 제공하는 단계;
    상기 채널 내로 상기 가스의 상기 유속의 일부를 지향시키는 단계; 및
    상기 유속의 나머지 부분을 상기 채널로부터 멀어지게 지향시키는 동안 상기 채널내의 가스를 점화하는 단계를 포함하는 플라즈마 점화 방법.
  57. 제56항에 있어서,
    상기 가스의 점화 이전에 상기 용기의 동작값으로 상기 유속을 고정시키는 단계를 더 포함하는 플라즈마 점화 방법.
  58. 제56항에 있어서,
    상기 유속은 상기 용기의 동작 유속과 연관된 플라즈마 점화 방법.
  59. 제56항에 있어서,
    상기 채널 내에서 가스를 점화시킨 후에 상기 채널내로 상기 유속의 전부를 지향시키는 단계를 더 포함하는 플라즈마 점화 방법.
  60. 제56항에 있어서,
    상기 채널내로 상기 유속의 일부를 지향시키는 단계는 상기 용기의 유출구가 연결된 공정 챔버내의 압력과 적어도 동일한 가스 압력을 상기 채널에 제공하는 단계를 포함하는 플라즈마 점화 방법.
  61. 제60항에 있어서,
    상기 채널 내의 상기 가스 압력은 0.01 토르보다 큰 플라즈마 점화 방법.
  62. 제56항에 있어서,
    상기 채널로부터 떨어져서 상기 유속의 나머지 부분을 지향시키는 단계는 상기 채널로부터 떨어져서 상기 유속의 전부를 지향시키는 단계를 포함하는 플라즈마 점화 방법.
  63. 토로이드형 유도결합형 플라즈마 처리 시스템에서의 플라즈마 공정 방법에 있어서,
    폐쇄형 채널을 한정하는 토로이드형 용기를 제공하는 단계;
    상기 용기에 인접하고 상기 채널의 인접부와 정렬된 디멘션을 갖는 적어도 하나의 축전결합형 점화 전극-상기 적어도 하나의 점화 전극의 상기 디멘션의 총 길이는 상기 채널의 길이의 10%보다 큼-을 제공하는 단계;
    상기 적어도 하나의 점화 전극에 전압을 인가함으로써 상기 채널 내의 가스의 플라즈마 방전을 개시하는 단계;
    상기 용기의 일부를 둘러싸는 주권선과 자기코어를 포함하는 변압기를 제공하는 단계;
    상기 토로이드형 용기내에 플라즈마를 유지하기 위하여 교류 전력 공급기로부터 상기 주권선에 전력을 공급하는 단계; 및
    토로이드형 용기에서 공정 용기에 의해서 한정되는 공정 챔버로 활성가스종을 지향시키는 단계를 포함하는 플라즈마 공정 방법.
  64. 제63항에 있어서,
    점화하는 단계는 상기 가스가 본질적으로 아르곤이 없을 때 상기 플라즈마를 점화하는 단계를 포함하는 플라즈마 공정 방법.
  65. 제63항에 있어서,
    점화시키는 단계는 상기 가스가 본질적으로 공정 압력과 유속을 가질 때 상기 플라즈마를 점화하는 단계를 포함하는 플라즈마 공정 방법.
  66. 플라즈마 공정 방법에 있어서,
    본질적으로 유전체 물질로 구성되고 폐쇄형 채널을 한정하는 토로이드형 용기를 제공하는 단계;
    상기 용기에 인접한 히트 싱크를 제공하는 단계;
    상기 용기와 상기 히트 싱크 사이에 배치되고, 상기 용기 및 상기 히트 싱크와 기계적으로 통하는 열계면-상기 열계면은 열로 야기된 체적 변화에 대응하여 상기 열계면, 상기 히트 싱크, 상기 용기 중 적어도 하나의 움직임을 조절하는 상기 히트 싱크와 상기 용기 사이의 공간을 한정함-을 제공하는 단계;
    상기 용기의 일부를 둘러싸는 자기 코어와 주권선을 포함하는 변압기를 제공하는 단계;
    상기 토로이드형 용기내에 상기 플라즈마를 유지하기 위하여 교류 전력 공급기로부터 상기 주권선에 전력을 공급하는 단계; 및
    토로이드형 용기부터 공정 용기에 의해 한정되는 공정 챔버로 활성 가스종을 지향시키는 단계를 포함하는 플라즈마 공정 방법.
  67. 제66항에 있어서,
    상기 히트 싱크는 적어도 두개의 단편을 포함하고,
    용수철-부하 기작으로 상기 적어도 두개의 단편의 연결에 의해 상기 용기에 대해 상기 적어도 두개의 단편을 압박하는 단계를 더 포함하는 플라즈마 공정 방법.
  68. 제66항에 있어서,
    상기 용기의 온도를 950℃ 미만으로 유지하는 단계를 더 포함하는 플라즈마 공정 방법.
  69. 플라즈마 장치에 있어서,
    도전성 부분을 포함하고 가스를 포함하는 채널을 한정하는 절연성 용기;
    상기 용기에 인접한 히트 싱크; 및
    상기 용기와 히트 싱크 사이에서 기계적으로 통하는 열계면을 포함하며,
    상기 열계면은 상기 히트 싱크와 상기 용기 사이에서 공간을 한정하되, 상기 공간은 열적으로 야기된 디멘션의 변화에 대응하여 상기 열계면, 상기 히트 싱크 및 상기 용기 중 적어도 하나의 이동을 허용하는 플라즈마 장치.
  70. 플라즈마 장치에 있어서,
    절연 물질로 구성되고 가스를 포함하는 채널을 한정하는 절연성 용기;
    상기 용기에 인접한 히트 싱크; 및
    상기 용기와 히트 싱크 사이에서 기계적으로 통하는 열계면을 포함하며,
    상기 열계면은 상기 히트 싱크와 용기 사이에서 공간을 한정하되, 상기 공간은 열적으로 야기된 디멘션의 변화에 응답하여 상기 열계면, 상기 히트 싱크 및 상기 용기 중 적어도 하나의 이동을 허용하는 플라즈마 장치.
  71. 제1항에 있어서,
    유도성 플라즈마의 형성 후에 적어도 하나의 축전결합형 점화 전극으로부터 전력을 제거하는 제어회로를 포함하는 플라즈마 점화 장치.
  72. 제1항에 있어서,
    상기 적어도 하나의 축전결합형 점화 전극은 상기 용기와 열적으로 소통하는 히트 싱크 단품인 플라즈마 점화 장치.
  73. 제1항에 있어서,
    다중 축전결합형 점화전극을 포함-하나 이상의 상기 점화전극은 상기 용기와 열적으로 소통함-하는 플라즈마 점화 장치.
  74. 제6항에 있어서,
    상기 점화전극은 상기 용기와 열적으로 소통하는 플라즈마 점화 장치.
  75. 제1항에 있어서,
    상기 플라즈마에 의해서 발생된 자외선을 차단하도록 상기 용기를 피복하는 빛-차단층을 포함하는 플라즈마 점화 장치.
  76. 제73항에 있어서,
    상기 빛-차단층은 열확산층이고 상기 용기의 냉각을 보조하는 플라즈마 점화 장치.
  77. 제1항에 있어서,
    상기 용기의 체적의 상당부를 충진하는 분산형 축전 전하를 제공하도록 상기 용기 주위에 분포된 다중 축전결합형 점화 전극을 포함하는 플라즈마 점화 장치.
KR1020057003115A 2003-04-16 2004-04-12 플라즈마 점화 장치, 플라즈마 장치, 유도결합형 플라즈마 공정 장치, 플라즈마 점화 방법, 플라즈마 공정 방법 KR100810258B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/417,408 US6872909B2 (en) 2003-04-16 2003-04-16 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US10/417,408 2003-04-16

Publications (2)

Publication Number Publication Date
KR20050103183A KR20050103183A (ko) 2005-10-27
KR100810258B1 true KR100810258B1 (ko) 2008-03-06

Family

ID=33158894

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057003115A KR100810258B1 (ko) 2003-04-16 2004-04-12 플라즈마 점화 장치, 플라즈마 장치, 유도결합형 플라즈마 공정 장치, 플라즈마 점화 방법, 플라즈마 공정 방법

Country Status (7)

Country Link
US (3) US6872909B2 (ko)
EP (3) EP1618588B1 (ko)
JP (5) JP5301096B2 (ko)
KR (1) KR100810258B1 (ko)
CN (3) CN102339716B (ko)
TW (3) TWI404462B (ko)
WO (1) WO2004095499A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160119856A (ko) * 2014-02-10 2016-10-14 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 소스 내에서 자기장들을 지향시키는 방법들, 및 연관된 시스템들

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US7238266B2 (en) * 2002-12-06 2007-07-03 Mks Instruments, Inc. Method and apparatus for fluorine generation and recirculation
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
US7307375B2 (en) * 2004-07-09 2007-12-11 Energetiq Technology Inc. Inductively-driven plasma light source
US7948185B2 (en) * 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US7199384B2 (en) * 2004-07-09 2007-04-03 Energetiq Technology Inc. Inductively-driven light source for lithography
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7572741B2 (en) 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101253751B1 (ko) * 2006-07-21 2013-04-11 주성엔지니어링(주) 기판처리장치
JP5590886B2 (ja) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP4721230B2 (ja) * 2006-10-31 2011-07-13 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
JP4926653B2 (ja) * 2006-10-31 2012-05-09 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
US7550927B2 (en) * 2006-11-09 2009-06-23 Applied Materials, Inc. System and method for generating ions and radicals
US7969096B2 (en) * 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US9275839B2 (en) * 2007-10-19 2016-03-01 Mks Instruments, Inc. Toroidal plasma chamber for high gas flow rate process
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
DE102010062349A1 (de) * 2010-12-02 2012-06-06 Robert Bosch Gmbh Zündspule mit integrierter Elektronik
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101325137B1 (ko) * 2011-05-31 2013-11-06 주식회사 뉴파워 프라즈마 아크 방지 기능을 갖는 플라즈마 전원공급 시스템 및 이를 갖는 플라즈마 처리 장치
KR101296723B1 (ko) * 2011-05-31 2013-08-20 주식회사 뉴파워 프라즈마 플라즈마 점화를 위한 점화회로
US20130118589A1 (en) 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
KR101314666B1 (ko) * 2011-11-28 2013-10-04 최대규 하이브리드 플라즈마 반응기
US10115565B2 (en) * 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
CN102705082A (zh) * 2012-05-29 2012-10-03 哈尔滨工程大学 迎风压差式等离子点火喷嘴
AU2013290093B2 (en) * 2012-07-13 2017-09-21 Peter Morrisroe Torches and methods of using them
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US20150303031A1 (en) * 2012-12-28 2015-10-22 New Power Plasma., Ltd. Plasma reactor and plasma ignition method using the same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
WO2014143775A1 (en) * 2013-03-15 2014-09-18 Plasmability, Llc Toroidal plasma processing apparatus
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP2014185363A (ja) * 2013-03-22 2014-10-02 Hitachi Kokusai Electric Inc 基板処理装置、処理容器および半導体装置の製造方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9155184B2 (en) * 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
TWI486996B (zh) * 2013-12-04 2015-06-01 Ind Tech Res Inst 電漿裝置及電漿裝置的操作方法
KR101406696B1 (ko) * 2013-12-27 2014-06-11 (주)제이오션 원격 플라즈마 소스를 위한 플라즈마 블록
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
EP3081921B1 (en) * 2015-04-16 2019-08-14 Heraeus Electro-Nite International N.V. Spectrometer calibration method
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CA3011972A1 (en) * 2016-01-19 2017-07-27 Brilliant Light Power, Inc. Thermophotovoltaic electrical power generator
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
NL2017198B1 (en) 2016-07-20 2018-01-26 Jiaco Instr Holding B V Decapsulation of electronic devices
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6872935B2 (ja) * 2016-09-30 2021-05-19 株式会社ダイヘン プラズマ発生装置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR101977702B1 (ko) * 2016-12-09 2019-05-14 에스케이하이닉스 주식회사 이온 소스 헤드 및 이를 포함하는 이온 주입 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10505348B2 (en) 2017-09-15 2019-12-10 Mks Instruments, Inc. Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102113294B1 (ko) * 2018-05-31 2020-06-16 (주) 엔피홀딩스 절연구간이 개선된 플라즈마 발생기
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11019715B2 (en) 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10553403B1 (en) 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960705343A (ko) * 1993-09-07 1996-10-09 투그룰 야살 플라즈마 처리실에서의 소프트 플라즈마 점화(Soft plasma ignition in plasma processing chambers)

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1534251A (en) 1918-01-28 1925-04-21 Charles G Smith Electric light
US2806356A (en) * 1952-08-27 1957-09-17 Theodore Raymond R Bocchio Combustion initiator
US3291715A (en) 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4615851A (en) 1981-09-08 1986-10-07 Ford Motor Company Preparation of beta"-alumina tubes by the extrusion process
JP2569078B2 (ja) * 1987-10-19 1997-01-08 株式会社日立製作所 核融合炉の炉壁
JPH01125933A (ja) * 1987-11-11 1989-05-18 Hitachi Ltd 真空処理方法及び装置
CH677557A5 (ko) * 1989-03-29 1991-05-31 Asea Brown Boveri
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5158442A (en) * 1991-05-01 1992-10-27 Guerra Romeo E Flare igniter
WO1992022189A1 (en) 1991-05-28 1992-12-10 Seppo Taneli Konkola A method for generating and exploiting a plasma ball or a similar phenomenon in a chamber and the chamber
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05166595A (ja) * 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
JP3121486B2 (ja) * 1993-12-13 2000-12-25 日本真空技術株式会社 プラズマ処理装置における放電管冷却機構
JPH07176519A (ja) * 1993-12-17 1995-07-14 Shibaura Eng Works Co Ltd 放電処理装置
JPH07273064A (ja) * 1994-03-28 1995-10-20 Sony Corp 微小構造及びその製造方法、及び接続配線構造の形成方法
JPH07282993A (ja) * 1994-04-14 1995-10-27 Kawasaki Heavy Ind Ltd 電子ビーム励起プラズマ発生用電子ビーム発生装置
JPH07302790A (ja) * 1994-04-28 1995-11-14 Tokyo Electron Ltd 熱処理装置
US5972450A (en) 1995-10-10 1999-10-26 Bundy Corporation Metal tubing coated with multiple layers of polymeric materials
WO1996039794A1 (fr) * 1995-06-05 1996-12-12 Tohoku Unicom Co., Ltd. Alimentation servant a une decharge par electrodes multiples
US5834905A (en) 1995-09-15 1998-11-10 Osram Sylvania Inc. High intensity electrodeless low pressure light source driven by a transformer core arrangement
JPH10511806A (ja) * 1995-09-15 1998-11-10 パテント−トロイハント−ゲゼルシャフト フュール エレクトリッシェ グリューラムペン ミット ベシュレンクテル ハフツング 高出力無電極低圧光源
JP3803432B2 (ja) * 1995-10-30 2006-08-02 セイコーエプソン株式会社 ランプユニット及びこれを用いた画像読み取り装置
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
WO1997045855A1 (en) * 1996-05-31 1997-12-04 Akashic Memories Corporation Highly tetrahedral amorphous carbon films and methods for their production
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
JPH10223182A (ja) * 1997-02-10 1998-08-21 Stanley Electric Co Ltd 蛍光ランプ
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6084199A (en) * 1997-08-01 2000-07-04 Hypertherm, Inc. Plasma arc torch with vented flow nozzle retainer
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
DE19806519A1 (de) * 1998-02-17 1999-08-19 Ruediger Haaga Gmbh Vorrichtung zum Sterilisieren von Behältern mittels eines Niederdruckplasmas
US6441552B1 (en) 1998-04-30 2002-08-27 Physical Sciences Inc. Apparatus and methods for generating persistent ionization plasmas
US6150835A (en) * 1998-05-08 2000-11-21 Intel Corporation Method and apparatus for fast production programming and low-voltage in-system writes for programmable logic device
JP2000117447A (ja) * 1998-10-20 2000-04-25 Purometoron Technic Kk プラズマトーチ用電極の製造方法、プラズマトーチ用電極のためのインサートの製造方法、およびプラズマトーチ用電極のためのインサート
DE19913614C1 (de) 1999-03-25 2000-05-11 Fraunhofer Ges Forschung Vorrichtung und Verfahren zur Behandlung von strömenden Gasen, insbesondere von Abgasen
JP4193320B2 (ja) * 1999-03-30 2008-12-10 昭和電工株式会社 磁気記録媒体の製造方法
JP3784203B2 (ja) * 1999-04-23 2006-06-07 松下電器産業株式会社 マグネトロンスパッタ方法と装置
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
WO2001011650A1 (en) * 1999-08-06 2001-02-15 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP2001150143A (ja) * 1999-11-26 2001-06-05 Komatsu Sanki Kk プラズマ加工用の電極及びプラズマ加工機
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP3640376B2 (ja) * 2000-02-14 2005-04-20 富士電機アドバンストテクノロジー株式会社 薄膜製造方法
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP2002075690A (ja) * 2000-08-24 2002-03-15 Japan Vilene Co Ltd 放電用電極
JP2002072205A (ja) * 2000-09-04 2002-03-12 Hitachi Ltd 液晶表示装置
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
JP2002190475A (ja) * 2000-12-21 2002-07-05 Canon Inc マイクロ波プラズマ処理装置及びそれを用いたプラズマ処理方法並びに物品の製造方法
JP4522003B2 (ja) * 2001-02-26 2010-08-11 株式会社エフオーアイ プラズマ処理装置
JP2002256437A (ja) * 2001-02-27 2002-09-11 Japan Science & Technology Corp ダイヤモンド様炭素多層膜の製造装置
JP3814492B2 (ja) * 2001-04-12 2006-08-30 松下電器産業株式会社 プラズマ処理装置およびプラズマ処理方法
JP3883396B2 (ja) * 2001-05-21 2007-02-21 東京応化工業株式会社 誘導結合プラズマ着火方法
JP2003036723A (ja) * 2001-07-19 2003-02-07 Harison Toshiba Lighting Corp 照明装置
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
KR100481313B1 (ko) * 2001-11-09 2005-04-07 최대규 유도결합 플라즈마 반응기
JP2004006699A (ja) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US6759624B2 (en) * 2002-05-07 2004-07-06 Ananda H. Kumar Method and apparatus for heating a semiconductor wafer plasma reactor vacuum chamber
JP4443818B2 (ja) * 2002-10-02 2010-03-31 パナソニック株式会社 プラズマドーピング方法
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960705343A (ko) * 1993-09-07 1996-10-09 투그룰 야살 플라즈마 처리실에서의 소프트 플라즈마 점화(Soft plasma ignition in plasma processing chambers)

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
1019960705343

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160119856A (ko) * 2014-02-10 2016-10-14 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 소스 내에서 자기장들을 지향시키는 방법들, 및 연관된 시스템들
KR102223658B1 (ko) * 2014-02-10 2021-03-05 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 소스 내에서 자기장들을 지향시키는 방법들, 및 연관된 시스템들

Also Published As

Publication number Publication date
CN1774787A (zh) 2006-05-17
TWI404462B (zh) 2013-08-01
EP2296166A3 (en) 2011-10-05
CN1774787B (zh) 2011-10-26
TWI448214B (zh) 2014-08-01
JP2012151115A (ja) 2012-08-09
US7659489B2 (en) 2010-02-09
JP2011124227A (ja) 2011-06-23
EP1618588A2 (en) 2006-01-25
EP2296166A2 (en) 2011-03-16
TW200505292A (en) 2005-02-01
JP2006523934A (ja) 2006-10-19
EP2296166B1 (en) 2014-01-08
TW201119515A (en) 2011-06-01
JP5658010B2 (ja) 2015-01-21
US20050145173A1 (en) 2005-07-07
CN102339716B (zh) 2016-02-24
US20070145023A1 (en) 2007-06-28
JP5492060B2 (ja) 2014-05-14
JP5301096B2 (ja) 2013-09-25
EP1618588B1 (en) 2019-02-13
EP2276052A3 (en) 2011-05-18
US7501600B2 (en) 2009-03-10
JP2011124226A (ja) 2011-06-23
CN102339717A (zh) 2012-02-01
EP2276052A2 (en) 2011-01-19
WO2004095499A2 (en) 2004-11-04
TWI359628B (en) 2012-03-01
CN102339716A (zh) 2012-02-01
EP2276052B1 (en) 2017-06-07
JP5695694B2 (ja) 2015-04-08
JP2013191566A (ja) 2013-09-26
WO2004095499A3 (en) 2005-02-10
US6872909B2 (en) 2005-03-29
KR20050103183A (ko) 2005-10-27
TW201130402A (en) 2011-09-01
CN102339717B (zh) 2015-11-25
US20040206730A1 (en) 2004-10-21

Similar Documents

Publication Publication Date Title
KR100810258B1 (ko) 플라즈마 점화 장치, 플라즈마 장치, 유도결합형 플라즈마 공정 장치, 플라즈마 점화 방법, 플라즈마 공정 방법
US7166816B1 (en) Inductively-coupled torodial plasma source
US6815633B1 (en) Inductively-coupled toroidal plasma source
US7569790B2 (en) Method and apparatus for processing metal bearing gases
EP1831425B1 (en) Method of disposing metal bearing gases
US20080083701A1 (en) Oxygen conditioning of plasma vessels
JP2018507514A (ja) 自己共振装置を備えたプラズマ点火装置および方法
US8779322B2 (en) Method and apparatus for processing metal bearing gases

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130208

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140211

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150209

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160211

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170222

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180209

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190213

Year of fee payment: 12