JP2013191566A - トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 - Google Patents
トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 Download PDFInfo
- Publication number
- JP2013191566A JP2013191566A JP2013084553A JP2013084553A JP2013191566A JP 2013191566 A JP2013191566 A JP 2013191566A JP 2013084553 A JP2013084553 A JP 2013084553A JP 2013084553 A JP2013084553 A JP 2013084553A JP 2013191566 A JP2013191566 A JP 2013191566A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- bath
- gas
- ignition
- heat sink
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 230000007246 mechanism Effects 0.000 claims abstract description 18
- 238000000034 method Methods 0.000 claims description 58
- 230000008569 process Effects 0.000 claims description 23
- 238000012545 processing Methods 0.000 claims description 16
- 239000003989 dielectric material Substances 0.000 claims description 15
- 238000004891 communication Methods 0.000 claims description 14
- 230000008878 coupling Effects 0.000 claims description 13
- 238000010168 coupling process Methods 0.000 claims description 13
- 238000005859 coupling reaction Methods 0.000 claims description 13
- 238000004804 winding Methods 0.000 claims description 4
- 238000012546 transfer Methods 0.000 abstract description 6
- 210000002381 plasma Anatomy 0.000 description 248
- 239000007789 gas Substances 0.000 description 154
- 239000000463 material Substances 0.000 description 52
- 238000001816 cooling Methods 0.000 description 33
- 230000005684 electric field Effects 0.000 description 25
- 239000010410 layer Substances 0.000 description 21
- 229910052751 metal Inorganic materials 0.000 description 21
- 239000002184 metal Substances 0.000 description 21
- 239000011162 core material Substances 0.000 description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 15
- 239000000919 ceramic Substances 0.000 description 12
- 238000009826 distribution Methods 0.000 description 12
- 150000002500 ions Chemical class 0.000 description 12
- 239000012530 fluid Substances 0.000 description 10
- 235000012489 doughnuts Nutrition 0.000 description 9
- 239000000758 substrate Substances 0.000 description 9
- 230000008859 change Effects 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 230000001965 increasing effect Effects 0.000 description 8
- 235000012239 silicon dioxide Nutrition 0.000 description 8
- 238000011144 upstream manufacturing Methods 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 239000010949 copper Substances 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- 230000003628 erosive effect Effects 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 239000004065 semiconductor Substances 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 230000006399 behavior Effects 0.000 description 5
- 230000015556 catabolic process Effects 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- 239000002131 composite material Substances 0.000 description 5
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 239000000806 elastomer Substances 0.000 description 5
- 229920001971 elastomer Polymers 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 230000007935 neutral effect Effects 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 210000004027 cell Anatomy 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000013536 elastomeric material Substances 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- 230000001939 inductive effect Effects 0.000 description 4
- 239000007769 metal material Substances 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 229910052756 noble gas Inorganic materials 0.000 description 4
- 239000004033 plastic Substances 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000006731 degradation reaction Methods 0.000 description 3
- 229910003460 diamond Inorganic materials 0.000 description 3
- 239000010432 diamond Substances 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 230000006698 induction Effects 0.000 description 3
- 230000013011 mating Effects 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Substances N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 150000002835 noble gases Chemical class 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 125000006850 spacer group Chemical group 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 229910010293 ceramic material Inorganic materials 0.000 description 2
- 239000013626 chemical specie Substances 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000011247 coating layer Substances 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- -1 for example Substances 0.000 description 2
- 239000005350 fused silica glass Substances 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 239000002648 laminated material Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 210000004180 plasmocyte Anatomy 0.000 description 2
- 239000000843 powder Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- 230000000930 thermomechanical effect Effects 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 235000012431 wafers Nutrition 0.000 description 2
- 210000002268 wool Anatomy 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- 229910001369 Brass Inorganic materials 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000002745 absorbent Effects 0.000 description 1
- 239000002250 absorbent Substances 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000003570 air Substances 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- CSDREXVUYHZDNP-UHFFFAOYSA-N alumanylidynesilicon Chemical compound [Al].[Si] CSDREXVUYHZDNP-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- DMFGNRRURHSENX-UHFFFAOYSA-N beryllium copper Chemical compound [Be].[Cu] DMFGNRRURHSENX-UHFFFAOYSA-N 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000010951 brass Substances 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 150000002013 dioxins Chemical class 0.000 description 1
- 230000005489 elastic deformation Effects 0.000 description 1
- 238000010292 electrical insulation Methods 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 150000002240 furans Chemical class 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000004519 grease Substances 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 239000000123 paper Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 238000010248 power generation Methods 0.000 description 1
- 229910052704 radon Inorganic materials 0.000 description 1
- SYUHGPGVQRZVTB-UHFFFAOYSA-N radon atom Chemical compound [Rn] SYUHGPGVQRZVTB-UHFFFAOYSA-N 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000011819 refractory material Substances 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 230000011218 segmentation Effects 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000005476 soldering Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 230000001954 sterilising effect Effects 0.000 description 1
- 238000004659 sterilization and disinfection Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 1
- 229910001887 tin oxide Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 239000012855 volatile organic compound Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
- 239000011787 zinc oxide Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32467—Material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma Technology (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Sampling And Sample Adjustment (AREA)
Abstract
【解決手段】システム1200は、槽1210、槽1210に隣接するヒートシンク1220、および槽1210とヒートシンク1220との間に配置される熱インターフェイス1230を備える。熱インターフェイス1230は、ヒートシンク1220と槽1210との間の空間を一様に満たすことができる。熱インターフェイス1230は、槽1210とヒートシンク1220との間の熱的接触を維持するために、バネ式機構1290によって積極的に圧縮される。
【選択図】図12
Description
本発明は、全体として、プラズマ発生および加工装置に関する。詳しくは、本発明は、プラズマ点火およびプラズマ槽の冷却のための方法および装置に関する。
プラズマ放電を用いて気体を解離させ、イオン、フリーラジカル、原子および分子を含む活性化気体を製造できる。活性化気体は、半導体ウエハ、粉末および他の気体などの材料を加工することを含む多数の産業および科学の用途に用いられる。プラズマのパラメータおよび加工される材料に対するプラズマの曝露の条件は、用途によって広く変化する。
生させる。既存のプラズマ源は、多くの用途で成功をおさめているが、それらのプラズマ源の実際の使用には、いくつかの技術的限界が残されている。
本発明は、部分として、槽内のプラズマの信頼できる点火を提供し、有効で信頼できる槽の冷却を提供できる装置および方法を特徴とする。このために、本発明は、従来のプラズマシステムより大面積のおよび/または長い点火電極、プラズマ槽への入り口気体の点火時のバイパス、プラズマ槽の気体入り口ポート近傍での点火、およびヒートシンクと槽との間に配置されるギャップ付きまたはギャップなし除熱構造を特徴とする。本発明のこれらの特徴によって、従来のプラズマシステムでは利用できない範囲の用途が可能になる。
より難しいおよび/または長い点火工程を有する従来のシステムより再現性が高いプロセスおよび短時間のプロセス結果が可能になる。
ントを有する冷却シェルは、トロイダル形状などの複雑な形状を有する槽の使用を可能にし、槽とシェルとの間の熱ミスマッチ応力を調節できる自立冷却構造を提供できる。
/または点火電極は、槽から熱を除去するヒートシンクとして機能することができる。
(定義)
「プラズマシステム」は、プラズマ発生構成部品を含み、材料加工構成部品を含むことがある装置である。プラズマシステムは、一つ以上の槽、電源構成部品、計測構成部品、制御構成部品およびその他の構成部品を含むことがある。加工は、一つ以上の槽、および/または一つ以上の槽と連通する一つ以上の加工チャンバで起こってよい。プラズマシステムは、プラズマ、またはプラズマ中で発生する反応性気体化学種の供給源、あるいは加工ツール全体であってよい。
ークを形成することができる。
中の電場は1ボルト/cmより著しく大きくなることがある。
例を示すように、点火電極890を、槽810の表面または上流部分880の表面に配置することができる。上流部分880は、例えば気体吐出パイプラインと適合するフランジであってよい。上流部分880および槽810を、材料の単一片、例えば融解石英の単一片から作製することができる。
ができる。
のいくらかまたは全部を入り口パイプライン1151からバイパス気体パイプライン1152に導くことができる。
に一つ以上のバイアを備える。
。
インターフェイス1230に圧力を制御して加えることができる。熱インターフェイス1230は、槽1210とヒートシンク1220との間の熱的接触を維持するために、バネ式機構1290によって積極的に圧縮される。
の出口ポート1542と連通するプロセスチャンバを定めるプロセス槽1590も含む。方法1000を実体化するために、バイパス弁1571は、プラズマ点火の間、気体の流れの一部分またはすべてを入り口パイプライン1551からバイパス気体パイプライン1552へ導くことができる。システム1500は、パイプライン1151から入り口ポート1541への気体の流れを媒介するために、入り口ポート1541の中、またはその近くに気体シャワーヘッド1551を含んでよい。
および電極スペーサー632の上、またはこれらに隣接する点火電極630aを備える。スペーサー632は、熱インターフェイス1230bと槽表面との間に電極630aによって創り出されるギャップを満たすことができる。
Claims (3)
- プラズマ処理のための方法であって、
基本的に誘電体材料からなり、内側にチャンネルを定めるトロイダル槽を提供するステップと、
前記槽に隣接するヒートシンクを提供するステップと、
前記槽と前記ヒートシンクとの間に配置され、前記槽および前記ヒートシンクの両方と機械的に連通する熱インターフェイスを提供するステップであって、前記熱インターフェイスは、熱的に誘起される寸法変化に応答して前記熱インターフェイスと前記ヒートシンクと前記槽との中の少なくとも1つの動きを調節する空間を前記ヒートシンクと前記槽との間に定めるステップと、
磁気コアと前記槽の一部を囲む一次側巻線とを含む変圧器を提供するステップと、
交流電源から前記一次側巻線に電力を供給して前記トロイダル槽中の前記プラズマを維持するステップと、
活性化気体化学種を、前記トロイダル槽から、プロセス槽によって定められるプロセスチャンバに導くステップと、
を含むことを特徴とする方法。 - 請求項1記載の方法において、前記ヒートシンクは少なくとも二つのセグメントを含み、前記少なくとも二つのセグメントをバネ式機構と結合することによって前記少なくとも二つのセグメントを前記槽に対して押し付けるステップを更に含むことを特徴とする方法。
- 請求項1記載の方法において、前記槽の温度を950℃より低く維持するステップを更に含むことを特徴とする方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/417,408 US6872909B2 (en) | 2003-04-16 | 2003-04-16 | Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel |
US10/417.408 | 2003-04-16 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010259705A Division JP5492060B2 (ja) | 2003-04-16 | 2010-11-22 | トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2013191566A true JP2013191566A (ja) | 2013-09-26 |
JP5695694B2 JP5695694B2 (ja) | 2015-04-08 |
Family
ID=33158894
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006509918A Expired - Lifetime JP5301096B2 (ja) | 2003-04-16 | 2004-04-12 | プラズマに点火する方法 |
JP2010259705A Expired - Lifetime JP5492060B2 (ja) | 2003-04-16 | 2010-11-22 | トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 |
JP2010259706A Expired - Lifetime JP5658010B2 (ja) | 2003-04-16 | 2010-11-22 | トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 |
JP2012023990A Abandoned JP2012151115A (ja) | 2003-04-16 | 2012-02-07 | トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 |
JP2013084553A Expired - Lifetime JP5695694B2 (ja) | 2003-04-16 | 2013-04-15 | プラズマ処理方法 |
Family Applications Before (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006509918A Expired - Lifetime JP5301096B2 (ja) | 2003-04-16 | 2004-04-12 | プラズマに点火する方法 |
JP2010259705A Expired - Lifetime JP5492060B2 (ja) | 2003-04-16 | 2010-11-22 | トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 |
JP2010259706A Expired - Lifetime JP5658010B2 (ja) | 2003-04-16 | 2010-11-22 | トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 |
JP2012023990A Abandoned JP2012151115A (ja) | 2003-04-16 | 2012-02-07 | トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6872909B2 (ja) |
EP (3) | EP1618588B1 (ja) |
JP (5) | JP5301096B2 (ja) |
KR (1) | KR100810258B1 (ja) |
CN (3) | CN102339717B (ja) |
TW (3) | TWI404462B (ja) |
WO (1) | WO2004095499A2 (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015128047A (ja) * | 2013-12-27 | 2015-07-09 | ニュー ジェネレーション テクノロジー カンパニー リミテッド | 遠隔プラズマソースのためのプラズマブロック |
JP2018060769A (ja) * | 2016-09-30 | 2018-04-12 | 株式会社ダイヘン | プラズマ発生装置 |
Families Citing this family (166)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7569790B2 (en) * | 1997-06-26 | 2009-08-04 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US8779322B2 (en) | 1997-06-26 | 2014-07-15 | Mks Instruments Inc. | Method and apparatus for processing metal bearing gases |
US7238266B2 (en) * | 2002-12-06 | 2007-07-03 | Mks Instruments, Inc. | Method and apparatus for fluorine generation and recirculation |
US8053700B2 (en) * | 2003-04-16 | 2011-11-08 | Mks Instruments, Inc. | Applicators and cooling systems for a plasma device |
US7948185B2 (en) * | 2004-07-09 | 2011-05-24 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7307375B2 (en) * | 2004-07-09 | 2007-12-11 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7199384B2 (en) * | 2004-07-09 | 2007-04-03 | Energetiq Technology Inc. | Inductively-driven light source for lithography |
GB0516695D0 (en) * | 2005-08-15 | 2005-09-21 | Boc Group Plc | Microwave plasma reactor |
US7572741B2 (en) * | 2005-09-16 | 2009-08-11 | Cree, Inc. | Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen |
US7842355B2 (en) * | 2005-11-01 | 2010-11-30 | Applied Materials, Inc. | System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties |
US20070267143A1 (en) * | 2006-05-16 | 2007-11-22 | Applied Materials, Inc. | In situ cleaning of CVD system exhaust |
KR101253751B1 (ko) * | 2006-07-21 | 2013-04-11 | 주성엔지니어링(주) | 기판처리장치 |
JP5590886B2 (ja) * | 2006-09-26 | 2014-09-17 | アプライド マテリアルズ インコーポレイテッド | 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理 |
US20080083701A1 (en) * | 2006-10-04 | 2008-04-10 | Mks Instruments, Inc. | Oxygen conditioning of plasma vessels |
JP4926653B2 (ja) * | 2006-10-31 | 2012-05-09 | 京セラ株式会社 | プラズマ発生体、反応装置及び光源装置 |
JP4721230B2 (ja) * | 2006-10-31 | 2011-07-13 | 京セラ株式会社 | プラズマ発生体、反応装置及び光源装置 |
US7550927B2 (en) * | 2006-11-09 | 2009-06-23 | Applied Materials, Inc. | System and method for generating ions and radicals |
US7969096B2 (en) * | 2006-12-15 | 2011-06-28 | Mks Instruments, Inc. | Inductively-coupled plasma source |
US7605008B2 (en) * | 2007-04-02 | 2009-10-20 | Applied Materials, Inc. | Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma |
WO2008154222A1 (en) * | 2007-06-06 | 2008-12-18 | Mks Instruments, Inc. | Particle reduction through gas and plasma source control |
US9275839B2 (en) * | 2007-10-19 | 2016-03-01 | Mks Instruments, Inc. | Toroidal plasma chamber for high gas flow rate process |
US7914603B2 (en) * | 2008-06-26 | 2011-03-29 | Mks Instruments, Inc. | Particle trap for a plasma source |
US20100037824A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Plasma Reactor Having Injector |
US20100037820A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Vapor Deposition Reactor |
US8770142B2 (en) * | 2008-09-17 | 2014-07-08 | Veeco Ald Inc. | Electrode for generating plasma and plasma generator |
US8851012B2 (en) * | 2008-09-17 | 2014-10-07 | Veeco Ald Inc. | Vapor deposition reactor using plasma and method for forming thin film using the same |
US8871628B2 (en) * | 2009-01-21 | 2014-10-28 | Veeco Ald Inc. | Electrode structure, device comprising the same and method for forming electrode structure |
KR101172147B1 (ko) | 2009-02-23 | 2012-08-07 | 시너스 테크놀리지, 인코포레이티드 | 플라즈마에 의한 라디칼을 이용한 박막 형성 방법 |
US8758512B2 (en) * | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
US8742665B2 (en) * | 2009-11-18 | 2014-06-03 | Applied Materials, Inc. | Plasma source design |
US8771538B2 (en) * | 2009-11-18 | 2014-07-08 | Applied Materials, Inc. | Plasma source design |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8771791B2 (en) | 2010-10-18 | 2014-07-08 | Veeco Ald Inc. | Deposition of layer using depositing apparatus with reciprocating susceptor |
DE102010062349A1 (de) * | 2010-12-02 | 2012-06-06 | Robert Bosch Gmbh | Zündspule mit integrierter Elektronik |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8877300B2 (en) * | 2011-02-16 | 2014-11-04 | Veeco Ald Inc. | Atomic layer deposition using radicals of gas mixture |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
KR101296723B1 (ko) * | 2011-05-31 | 2013-08-20 | 주식회사 뉴파워 프라즈마 | 플라즈마 점화를 위한 점화회로 |
KR101325137B1 (ko) * | 2011-05-31 | 2013-11-06 | 주식회사 뉴파워 프라즈마 | 아크 방지 기능을 갖는 플라즈마 전원공급 시스템 및 이를 갖는 플라즈마 처리 장치 |
US20130118589A1 (en) * | 2011-11-15 | 2013-05-16 | Mks Instruments, Inc. | Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel |
KR101314666B1 (ko) * | 2011-11-28 | 2013-10-04 | 최대규 | 하이브리드 플라즈마 반응기 |
US10115565B2 (en) * | 2012-03-02 | 2018-10-30 | Panasonic Intellectual Property Management Co., Ltd. | Plasma processing apparatus and plasma processing method |
CN102705082A (zh) * | 2012-05-29 | 2012-10-03 | 哈尔滨工程大学 | 迎风压差式等离子点火喷嘴 |
WO2014011919A2 (en) * | 2012-07-13 | 2014-01-16 | Perkinelmer Health Sciences, Inc. | Torches and methods of using them |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US20140062285A1 (en) | 2012-08-29 | 2014-03-06 | Mks Instruments, Inc. | Method and Apparatus for a Large Area Inductive Plasma Source |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8944003B2 (en) * | 2012-11-16 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Remote plasma system and method |
JP5962773B2 (ja) * | 2012-12-28 | 2016-08-03 | ニュー パワー プラズマ カンパニー リミテッド | プラズマ反応器及びこれを用いたプラズマ点火方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
SG10201708625XA (en) * | 2013-03-15 | 2017-11-29 | Plasmability Llc | Toroidal plasma processing apparatus |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP2014185363A (ja) * | 2013-03-22 | 2014-10-02 | Hitachi Kokusai Electric Inc | 基板処理装置、処理容器および半導体装置の製造方法 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9155184B2 (en) * | 2013-11-18 | 2015-10-06 | Applied Materials, Inc. | Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods |
US9376455B2 (en) | 2013-11-27 | 2016-06-28 | Veeco Ald Inc. | Molecular layer deposition using reduction process |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
TWI486996B (zh) | 2013-12-04 | 2015-06-01 | Ind Tech Res Inst | 電漿裝置及電漿裝置的操作方法 |
US9305749B2 (en) | 2014-02-10 | 2016-04-05 | Applied Materials, Inc. | Methods of directing magnetic fields in a plasma source, and associated systems |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9240308B2 (en) * | 2014-03-06 | 2016-01-19 | Applied Materials, Inc. | Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) * | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9736920B2 (en) * | 2015-02-06 | 2017-08-15 | Mks Instruments, Inc. | Apparatus and method for plasma ignition with a self-resonating device |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
TWI670749B (zh) | 2015-03-13 | 2019-09-01 | 美商應用材料股份有限公司 | 耦接至工藝腔室的電漿源 |
EP3081921B1 (en) * | 2015-04-16 | 2019-08-14 | Heraeus Electro-Nite International N.V. | Spectrometer calibration method |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
AU2017210155A1 (en) * | 2016-01-19 | 2018-08-23 | Brilliant Light Power, Inc. | Thermophotovoltaic electrical power generator |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
NL2017198B1 (en) * | 2016-07-20 | 2018-01-26 | Jiaco Instr Holding B V | Decapsulation of electronic devices |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR101977702B1 (ko) * | 2016-12-09 | 2019-05-14 | 에스케이하이닉스 주식회사 | 이온 소스 헤드 및 이를 포함하는 이온 주입 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10505348B2 (en) | 2017-09-15 | 2019-12-10 | Mks Instruments, Inc. | Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) * | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102113294B1 (ko) * | 2018-05-31 | 2020-06-16 | (주) 엔피홀딩스 | 절연구간이 개선된 플라즈마 발생기 |
SG11202011069RA (en) | 2018-06-14 | 2020-12-30 | Mks Instr Inc | Radical output monitor for a remote plasma source and method of use |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US11019715B2 (en) | 2018-07-13 | 2021-05-25 | Mks Instruments, Inc. | Plasma source having a dielectric plasma chamber with improved plasma resistance |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10553403B1 (en) | 2019-05-08 | 2020-02-04 | Mks Instruments, Inc. | Polygonal toroidal plasma source |
CN112509899B (zh) * | 2019-09-16 | 2024-02-09 | 中微半导体设备(上海)股份有限公司 | 电感耦合等离子体处理装置及其点火控制方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01105190A (ja) * | 1987-10-19 | 1989-04-21 | Hitachi Ltd | 核融合炉の炉壁 |
WO1999000823A1 (en) * | 1997-06-26 | 1999-01-07 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
JP2002075690A (ja) * | 2000-08-24 | 2002-03-15 | Japan Vilene Co Ltd | 放電用電極 |
JP2002252215A (ja) * | 2001-02-26 | 2002-09-06 | Foi:Kk | プラズマ処理装置 |
Family Cites Families (68)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US1534251A (en) * | 1918-01-28 | 1925-04-21 | Charles G Smith | Electric light |
US2806356A (en) * | 1952-08-27 | 1957-09-17 | Theodore Raymond R Bocchio | Combustion initiator |
US3291715A (en) * | 1963-08-19 | 1966-12-13 | Litton Systems Inc | Apparatus for cathode sputtering including a plasmaconfining chamber |
US4431898A (en) * | 1981-09-01 | 1984-02-14 | The Perkin-Elmer Corporation | Inductively coupled discharge for plasma etching and resist stripping |
US4615851A (en) | 1981-09-08 | 1986-10-07 | Ford Motor Company | Preparation of beta"-alumina tubes by the extrusion process |
JPH01125933A (ja) * | 1987-11-11 | 1989-05-18 | Hitachi Ltd | 真空処理方法及び装置 |
CH677557A5 (ja) * | 1989-03-29 | 1991-05-31 | Asea Brown Boveri | |
US5274306A (en) * | 1990-08-31 | 1993-12-28 | Kaufman & Robinson, Inc. | Capacitively coupled radiofrequency plasma source |
US5273609A (en) * | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US5158442A (en) * | 1991-05-01 | 1992-10-27 | Guerra Romeo E | Flare igniter |
JPH06507514A (ja) | 1991-05-28 | 1994-08-25 | コンコーラ,セッポ タネリ | チャンバにおいてプラズマ球又は類似の現象を発生させ及び利用するための方法並びにそのチャンバ |
US6063233A (en) * | 1991-06-27 | 2000-05-16 | Applied Materials, Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6165311A (en) * | 1991-06-27 | 2000-12-26 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna |
JPH05166595A (ja) * | 1991-12-12 | 1993-07-02 | Fuji Denpa Koki Kk | 高気圧高密度プラズマ発生方法 |
US6190512B1 (en) * | 1993-09-07 | 2001-02-20 | Tokyo Electron Arizona Inc. | Soft plasma ignition in plasma processing chambers |
JP3121486B2 (ja) * | 1993-12-13 | 2000-12-25 | 日本真空技術株式会社 | プラズマ処理装置における放電管冷却機構 |
JPH07176519A (ja) * | 1993-12-17 | 1995-07-14 | Shibaura Eng Works Co Ltd | 放電処理装置 |
JPH07273064A (ja) * | 1994-03-28 | 1995-10-20 | Sony Corp | 微小構造及びその製造方法、及び接続配線構造の形成方法 |
JPH07282993A (ja) * | 1994-04-14 | 1995-10-27 | Kawasaki Heavy Ind Ltd | 電子ビーム励起プラズマ発生用電子ビーム発生装置 |
JPH07302790A (ja) * | 1994-04-28 | 1995-11-14 | Tokyo Electron Ltd | 熱処理装置 |
US5972450A (en) | 1995-10-10 | 1999-10-26 | Bundy Corporation | Metal tubing coated with multiple layers of polymeric materials |
US5932116A (en) * | 1995-06-05 | 1999-08-03 | Tohoku Unicom Co., Ltd. | Power supply for multi-electrode discharge |
US5834905A (en) * | 1995-09-15 | 1998-11-10 | Osram Sylvania Inc. | High intensity electrodeless low pressure light source driven by a transformer core arrangement |
JPH10511806A (ja) * | 1995-09-15 | 1998-11-10 | パテント−トロイハント−ゲゼルシャフト フュール エレクトリッシェ グリューラムペン ミット ベシュレンクテル ハフツング | 高出力無電極低圧光源 |
JP3803432B2 (ja) * | 1995-10-30 | 2006-08-02 | セイコーエプソン株式会社 | ランプユニット及びこれを用いた画像読み取り装置 |
US6440221B2 (en) * | 1996-05-13 | 2002-08-27 | Applied Materials, Inc. | Process chamber having improved temperature control |
AU3224297A (en) * | 1996-05-31 | 1998-01-05 | Akashic Memories Corporation | Highly tetrahedral amorphous carbon films and methods for their production |
US5981899A (en) * | 1997-01-17 | 1999-11-09 | Balzers Aktiengesellschaft | Capacitively coupled RF-plasma reactor |
JPH10223182A (ja) * | 1997-02-10 | 1998-08-21 | Stanley Electric Co Ltd | 蛍光ランプ |
JPH10270430A (ja) * | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | プラズマ処理装置 |
US6692617B1 (en) * | 1997-05-08 | 2004-02-17 | Applied Materials, Inc. | Sustained self-sputtering reactor having an increased density plasma |
US6815633B1 (en) | 1997-06-26 | 2004-11-09 | Applied Science & Technology, Inc. | Inductively-coupled toroidal plasma source |
US6388226B1 (en) * | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6084199A (en) * | 1997-08-01 | 2000-07-04 | Hypertherm, Inc. | Plasma arc torch with vented flow nozzle retainer |
US6074514A (en) | 1998-02-09 | 2000-06-13 | Applied Materials, Inc. | High selectivity etch using an external plasma discharge |
DE19806519A1 (de) * | 1998-02-17 | 1999-08-19 | Ruediger Haaga Gmbh | Vorrichtung zum Sterilisieren von Behältern mittels eines Niederdruckplasmas |
US6441552B1 (en) | 1998-04-30 | 2002-08-27 | Physical Sciences Inc. | Apparatus and methods for generating persistent ionization plasmas |
US6150835A (en) * | 1998-05-08 | 2000-11-21 | Intel Corporation | Method and apparatus for fast production programming and low-voltage in-system writes for programmable logic device |
JP2000117447A (ja) * | 1998-10-20 | 2000-04-25 | Purometoron Technic Kk | プラズマトーチ用電極の製造方法、プラズマトーチ用電極のためのインサートの製造方法、およびプラズマトーチ用電極のためのインサート |
DE19913614C1 (de) | 1999-03-25 | 2000-05-11 | Fraunhofer Ges Forschung | Vorrichtung und Verfahren zur Behandlung von strömenden Gasen, insbesondere von Abgasen |
JP4193320B2 (ja) * | 1999-03-30 | 2008-12-10 | 昭和電工株式会社 | 磁気記録媒体の製造方法 |
JP3784203B2 (ja) * | 1999-04-23 | 2006-06-07 | 松下電器産業株式会社 | マグネトロンスパッタ方法と装置 |
US6392351B1 (en) * | 1999-05-03 | 2002-05-21 | Evgeny V. Shun'ko | Inductive RF plasma source with external discharge bridge |
US6466426B1 (en) | 1999-08-03 | 2002-10-15 | Applied Materials Inc. | Method and apparatus for thermal control of a semiconductor substrate |
US6432260B1 (en) * | 1999-08-06 | 2002-08-13 | Advanced Energy Industries, Inc. | Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof |
JP4221847B2 (ja) | 1999-10-25 | 2009-02-12 | パナソニック電工株式会社 | プラズマ処理装置及びプラズマ点灯方法 |
JP2001150143A (ja) * | 1999-11-26 | 2001-06-05 | Komatsu Sanki Kk | プラズマ加工用の電極及びプラズマ加工機 |
US6156667A (en) | 1999-12-31 | 2000-12-05 | Litmas, Inc. | Methods and apparatus for plasma processing |
JP3640376B2 (ja) * | 2000-02-14 | 2005-04-20 | 富士電機アドバンストテクノロジー株式会社 | 薄膜製造方法 |
US6329297B1 (en) | 2000-04-21 | 2001-12-11 | Applied Materials, Inc. | Dilute remote plasma clean |
US6387207B1 (en) * | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6418874B1 (en) | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
JP2002072205A (ja) * | 2000-09-04 | 2002-03-12 | Hitachi Ltd | 液晶表示装置 |
US6258735B1 (en) | 2000-10-05 | 2001-07-10 | Applied Materials, Inc. | Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber |
JP2002190475A (ja) * | 2000-12-21 | 2002-07-05 | Canon Inc | マイクロ波プラズマ処理装置及びそれを用いたプラズマ処理方法並びに物品の製造方法 |
JP2002256437A (ja) * | 2001-02-27 | 2002-09-11 | Japan Science & Technology Corp | ダイヤモンド様炭素多層膜の製造装置 |
JP3814492B2 (ja) * | 2001-04-12 | 2006-08-30 | 松下電器産業株式会社 | プラズマ処理装置およびプラズマ処理方法 |
JP3883396B2 (ja) * | 2001-05-21 | 2007-02-21 | 東京応化工業株式会社 | 誘導結合プラズマ着火方法 |
JP2003036723A (ja) * | 2001-07-19 | 2003-02-07 | Harison Toshiba Lighting Corp | 照明装置 |
WO2003018867A1 (en) | 2001-08-29 | 2003-03-06 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
KR100481313B1 (ko) * | 2001-11-09 | 2005-04-07 | 최대규 | 유도결합 플라즈마 반응기 |
JP2004006699A (ja) * | 2002-04-25 | 2004-01-08 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
US6759624B2 (en) * | 2002-05-07 | 2004-07-06 | Ananda H. Kumar | Method and apparatus for heating a semiconductor wafer plasma reactor vacuum chamber |
JP4443818B2 (ja) * | 2002-10-02 | 2010-03-31 | パナソニック株式会社 | プラズマドーピング方法 |
KR100542740B1 (ko) * | 2002-11-11 | 2006-01-11 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
KR100674279B1 (ko) * | 2003-03-25 | 2007-01-24 | 동경 엘렉트론 주식회사 | 처리장치 및 처리방법 |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
-
2003
- 2003-04-16 US US10/417,408 patent/US6872909B2/en not_active Expired - Lifetime
-
2004
- 2004-04-12 KR KR1020057003115A patent/KR100810258B1/ko active IP Right Grant
- 2004-04-12 CN CN201110289004.2A patent/CN102339717B/zh not_active Expired - Lifetime
- 2004-04-12 CN CN201110288983.XA patent/CN102339716B/zh not_active Expired - Lifetime
- 2004-04-12 EP EP04759827.1A patent/EP1618588B1/en not_active Expired - Lifetime
- 2004-04-12 JP JP2006509918A patent/JP5301096B2/ja not_active Expired - Lifetime
- 2004-04-12 CN CN2004800100663A patent/CN1774787B/zh not_active Expired - Lifetime
- 2004-04-12 EP EP10012151.6A patent/EP2276052B1/en not_active Expired - Lifetime
- 2004-04-12 WO PCT/US2004/011183 patent/WO2004095499A2/en active Application Filing
- 2004-04-12 EP EP10012152.4A patent/EP2296166B1/en not_active Expired - Lifetime
- 2004-04-15 TW TW099141830A patent/TWI404462B/zh not_active IP Right Cessation
- 2004-04-15 TW TW099141827A patent/TWI448214B/zh not_active IP Right Cessation
- 2004-04-15 TW TW093110545A patent/TWI359628B/zh active
-
2005
- 2005-02-15 US US11/058,620 patent/US7501600B2/en active Active
-
2007
- 2007-03-12 US US11/684,916 patent/US7659489B2/en active Active
-
2010
- 2010-11-22 JP JP2010259705A patent/JP5492060B2/ja not_active Expired - Lifetime
- 2010-11-22 JP JP2010259706A patent/JP5658010B2/ja not_active Expired - Lifetime
-
2012
- 2012-02-07 JP JP2012023990A patent/JP2012151115A/ja not_active Abandoned
-
2013
- 2013-04-15 JP JP2013084553A patent/JP5695694B2/ja not_active Expired - Lifetime
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01105190A (ja) * | 1987-10-19 | 1989-04-21 | Hitachi Ltd | 核融合炉の炉壁 |
WO1999000823A1 (en) * | 1997-06-26 | 1999-01-07 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
JP2002075690A (ja) * | 2000-08-24 | 2002-03-15 | Japan Vilene Co Ltd | 放電用電極 |
JP2002252215A (ja) * | 2001-02-26 | 2002-09-06 | Foi:Kk | プラズマ処理装置 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015128047A (ja) * | 2013-12-27 | 2015-07-09 | ニュー ジェネレーション テクノロジー カンパニー リミテッド | 遠隔プラズマソースのためのプラズマブロック |
JP2018060769A (ja) * | 2016-09-30 | 2018-04-12 | 株式会社ダイヘン | プラズマ発生装置 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5695694B2 (ja) | プラズマ処理方法 | |
JP7187500B2 (ja) | 自己共振装置を備えたプラズマ点火装置および方法 | |
US7166816B1 (en) | Inductively-coupled torodial plasma source | |
US6815633B1 (en) | Inductively-coupled toroidal plasma source | |
EP1831425B1 (en) | Method of disposing metal bearing gases | |
US20080083701A1 (en) | Oxygen conditioning of plasma vessels | |
US20060086699A1 (en) | Method and apparatus for processing metal bearing gases | |
US8779322B2 (en) | Method and apparatus for processing metal bearing gases | |
WO2016126475A1 (en) | Apparatus and method for metastable enhanced plasma ignition |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20140320 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20140327 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140623 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20141002 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141127 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20150109 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150206 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5695694 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |