JP2011124226A - トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 - Google Patents

トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 Download PDF

Info

Publication number
JP2011124226A
JP2011124226A JP2010259705A JP2010259705A JP2011124226A JP 2011124226 A JP2011124226 A JP 2011124226A JP 2010259705 A JP2010259705 A JP 2010259705A JP 2010259705 A JP2010259705 A JP 2010259705A JP 2011124226 A JP2011124226 A JP 2011124226A
Authority
JP
Japan
Prior art keywords
bath
plasma
heat sink
thermal interface
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010259705A
Other languages
English (en)
Other versions
JP5492060B2 (ja
Inventor
William M Holber
ウィリアム エム. ホルバー,
Xing Chen
シン チェン,
Andrew B Cowe
アンドリュー ビー. コーウェ,
Matthew M Besen
マシュー エム. ベセン,
Jr Ronald W Collins
ジュニア, ロナルド ダブリュー. コリンズ,
Susan C Trulli
スーザン シー. トゥルッリ,
Shouqian Shao
ショウチエン シャオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of JP2011124226A publication Critical patent/JP2011124226A/ja
Application granted granted Critical
Publication of JP5492060B2 publication Critical patent/JP5492060B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Abstract

【課題】プラズマが放出する紫外光がエラストマー材料に達して損傷を与えることを妨げるプラズマ装置の提供。
【解決手段】誘電体材料で形成され、気体を閉じ込めるチャンネルを定める槽1210と、槽1210に隣接するヒートシンク1220と、槽1210とヒートシンク
1220との間にありこれらと機械的に連通して配置される熱インターフェイス1230と、槽1210と熱インターフェイス1230との間に配置された紫外線遮光層1234と、を含んでおり、熱インターフェイス1230は、熱的に誘起される寸法変化に応答して熱インターフェイス1230とヒートシンク1220と槽1210との中の少なくとも一つの動きを調節する空間をヒートシンク1220と槽1210との間に定める。
【選択図】図12

Description

(発明の分野)
本発明は、全体として、プラズマ発生および加工装置に関する。詳しくは、本発明は、プラズマ点火およびプラズマ槽の冷却のための方法および装置に関する。
(発明の背景)
プラズマ放電を用いて気体を解離させ、イオン、フリーラジカル、原子および分子を含む活性化気体を製造できる。活性化気体は、半導体ウエハ、粉末および他の気体などの材料を加工することを含む多数の産業および科学の用途に用いられる。プラズマのパラメータおよび加工される材料に対するプラズマの曝露の条件は、用途によって広く変化する。
例えば、いくつかの用途では、加工される物質が損傷に敏感なため、または別の材料と比べて一つの材料の選択エッチングの必要があるため、低い運動エネルギー(すなわち数エレクトロンボルト)を有するイオンの使用が必要である。異方性エッチングまたは平面化誘導体析出などの他用途では、高い運動エネルギーを有するイオンの使用が必要である。
いくつかの用途では、加工される材料の高密度プラズマへの直接曝露が必要である。そのような用途には、イオン活性化化学反応や高アスペクト比構造物を目的とする材料のエッチングおよび析出が含まれる。その他の用途では、材料がイオンによって引き起こされる損傷に敏感なため、またはプロセスに高い選択性の必要があるため、加工される材料をプラズマから遮蔽する必要がある。
直流(DC)放電、高周波(RF)放電およびマイクロ波放電を含むさまざまな方法で、プラズマを発生できる。DC放電は、気体中の二つの電極間に電位を印加することによって実現される。RF放電は、電源からのエネルギーを容量結合または誘導結合してプラズマ化させることによって実現される。
平行なプレートを用いてエネルギーを容量結合してプラズマ化できる。誘導コイルを用いて電流をプラズマに誘導できる。気体を含む放電チャンバにマイクロ波エネルギー源を結合することによって、マイクロ波放電を発生させることができる。
プラズマを構成する荷電化学種およびプラズマによって活性化されることがある非荷電化学種の両方が加工される物質と密接に接触するように、プラズマ放電を発生させることがある。あるいは、加工される物質と接触する荷電化学種は比較的少ないが、中性化学種は依然接触するように、加工される物質から離れた場所でプラズマ放電を発生させることがある。
通常、そのようなプラズマ放電を遠隔または下流プラズマ放電と呼ぶ。プラズマ源は、構造、加工される材料に対する位置および動作条件(気体化学種、圧力、流量およびプラズマに投入される電力)によって、これら二つの一般的な種類のどちらかまたは両方の特性を有することがある。
一般に、既存の遠隔プラズマ源は、RFまたはマイクロ波電力を利用してプラズマを発生させる。既存のプラズマ源は、多くの用途で成功をおさめているが、それらのプラズマ源の実際の使用には、いくつかの技術的限界が残されている。
発生させ、供給し、負荷に適合させるには、マイクロ波電力の方が一般に高価なため、マイクロ波を用いる遠隔プラズマ源は、一般にRF源より高価である。また、マイクロ波源および電力供給システムは全般的にRF源より場所を取り、マイクロ波電力を発生させる真空管の定期更新が必要である。
誘導結合だけでなくある程度の容量結合も有するRF遠隔プラズマ源は、対応するマイクロ波源より安価で小さなことがある。しかし、プラズマ点火プロセスを支援する容量結合も、プラズマ中で発生するイオンによる衝撃に起因するプラズマ槽の露出壁の劣化を招くことがある。誘導RF結合を利用するが、関連する容量結合を最小化するRF遠隔プラズマ源を用いると、イオンが誘起するプラズマ槽表面の劣化を減らすことがある。しかし、容量結合を少なくするか、または除くと、プラズマ点火、特に広いプロセス条件にわたるプラズマ点火ができにくくなることがある。
既存の遠隔プラズマ源の第二の問題点は、プラズマ中で発生してプラズマ槽の壁に伝わる熱の除去である。これは、特に、プラズマ槽が複雑な形状を有するとき、およびプラズマ槽が誘電体材料で構成され、誘電体槽と接触する大量の流体による直接冷却は望ましくないかまたは非現実的なときに当てはまる。これは、プラズマに確実に結合できる電力を制限する効果を有する。
例えば、既存のトロイダルプラズマシステムでは、RFエネルギーをプラズマに結合させるようにして、誘導の比率を非常に高くすることがある。プラズマは、例えば、容量結合したRF点火放電によって、または紫外線放射によって点火されることがある。プラズマシステムには、特定の気体化学種、圧力および流量要件を有する別個のプラズマ点火工程が必要なことがある。これらの特定の要件は、動作条件の要件と異なることがある。これらの制約の両方によって、プラズマシステムとともに用いられる真空および気体取り扱いシステムの構成要素がさらに複雑になり、加工に必要な全体的な時間が増加することがある。
(発明の要約)
本発明は、部分として、槽内のプラズマの信頼できる点火を提供し、有効で信頼できる槽の冷却を提供できる装置および方法を特徴とする。このために、本発明は、従来のプラズマシステムより大面積のおよび/または長い点火電極、プラズマ槽への入り口気体の点火時のバイパス、プラズマ槽の気体入り口ポート近傍での点火、およびヒートシンクと槽との間に配置されるギャップ付きまたはギャップなし除熱構造を特徴とする。本発明のこれらの特徴によって、従来のプラズマシステムでは利用できない範囲の用途が可能になる。
本発明の特徴のいくつかの実体化は、誘電体槽を含む。誘電体槽は、O、N、H、F、ClおよびBrなどの反応性中性化学種に対して、金属または被覆金属槽から得られるよりも高い純度、低い再結合速度を提供するプラズマに暴露される表面を有することができる。
本発明は、例えば、従来のトロイダルプラズマシステムで利用できるよりも広いプロセス範囲にわたってプラズマの点火を提供できる。従来のシステムにおけるより大きな槽内チャンネル体積部分を満たす容量放電は、より広い範囲の気体パラメータ(例えば気体の種類、流量および気体圧力)で点火を提供できる。点火の改善によって、今度は、例えばより難しいおよび/または長い点火工程を有する従来のシステムより再現性が高いプロセスおよび短時間のプロセス結果が可能になる。
本発明は、部分的には、プラズマ槽のかなりの部分の体積を満たして点火を支援する分布を有する容量放電を提供する。より簡単な点火によって、今度は、より迅速でより安定な加工の始動が可能になる。動作条件またはその近傍でプラズマに点火する能力は、例えば、原子層析出(ALD)などの短いサイクルを有するプロセスに有利なことがある。
本発明のいくつかの実施態様は、槽に隣接し、分布を有する容量放電を提供する大きな、および/または空間的に分布を有する容量結合電極を特徴とする。電極は、槽のチャンネルの大きな部分で槽内にイオン化電場を印加することによって、槽内のプラズマに点火できる。従って、気体化学種中で、いくつかの従来のプラズマ発生システムでは利用できない流量で、点火を実現できる。点火電極は、冷却構成部品および/または冷却シェルと誘電体槽との間の熱インターフェイス構成部品の一部であってよい。
本発明のいくつかの実体化は、槽の一つ以上の入り口ポートに、および/また入り口ポートの近傍に配置される一つ以上の点火電極を含む。これらの電極を単独で、あるいは他の電極および/またはその他の点火手段と協同で放電させ、イオン化した化学種を槽内の気体に接種してプラズマに点火することができる。
本発明は、送風機または誘電体槽の直接流体冷却を必要とせずに、最大100kW以上の電力レベルで誘電体槽の冷却を提供できる。冷却シェルの形のヒートシンクを槽の極めて近くに配置し、例えば金属、重合体および/または複合材料あるいは積層材料を含む熱伝導性および/または変形性材料をギャップに入れることができる。
ギャップ充填材料は、冷却シェルと誘電体槽との間の熱伝導経路を提供する。この材料は、さまざまな程度の弾性を有することがあり、冷却シェルは、ギャップ充填材料を誘電体槽の外側および冷却シェルの表面の両方と密着接触させて保持できる。これによって、誘電体槽、ギャップ充填材料および冷却シェルの間の良好な熱的接触を確かにする助けとなることがある。
好ましい実体化では、誘電体材料で作製した真空槽でトロイダル低電場反応性気体源を構築する。槽の冷却は、広い範囲の動作電力にわたって信頼できる動作を提供する。プラズマ源の用途は、半導体加工およびプロセスチャンバ清浄化を含む。その他の用途は、例えば化学プロセスにおける活性化気体化学種の供給、流出気流からの有害気体化学種または望ましくない気体化学種の変換または除去を含む。
いくつかの好ましい実施態様では、本発明は、冷却シェルによって囲まれるトロイダル形状の誘電体槽を特徴とする。上記で説明したギャップ充填材料など、熱的に誘起される寸法変化の機械的調節を提供する熱インターフェイスによって槽から間隔をおいて冷却シェルを配置できる。
熱インターフェイスは、冷却シェルと誘電体槽との間の熱機械的ミスマッチから生じる寸法変化を吸収するために、弾性および/または可塑性の機械的性質を有する一つ以上の材料を含むことができる。熱インターフェイスは、槽とシェルとの間に非常に薄い間隔を定めることができる。
これらの実施態様のいくつかでは、バネ式機構によって誘電体槽の外側の表面に対して冷却シェルを積極的に圧縮して、熱インターフェイスを誘電体槽の外側および冷却シェルの両方に熱的に密接に接触させておく。バネ式機構によって互いに結合した複数のセグメントを有する冷却シェルは、トロイダル形状などの複雑な形状を有する槽の使用を可能にし、槽とシェルとの間の熱ミスマッチ応力を調節できる自立冷却構造を提供できる。
本発明のいくつかの実施態様では、プラズマによって発生する紫外線が誘電体槽を通り抜けてギャップに達し、そこで熱インターフェイス材料の劣化および/またはオゾンの発生の原因となることを妨げる層で誘電真空槽の外部表面を被覆する。被覆物は、不透光性および/または反射性および/または吸収性であってよい。外部被覆層は、熱拡散層として機能することによって、誘電真空槽の冷却を助けることがある。
いくつかの実施態様では、エネルギー性イオンまたは反応性中性化学種による浸食に抵抗する層で真空槽の内部表面を被覆する。被覆層は、低減したプラズマ成分再結合速度を提供できる。内部被覆物の例は、窒化ケイ素、二酸化ケイ素、酸化アルミニウム、窒化アルミニウム、ダイヤモンドおよび重合体材料を含む。
本発明の原理によれば、プラズマ源およびシステムは、例えば、固体表面、粉末および気体など、多数の材料を加工するために有用である。本システムは、薄膜析出およびエッチングシステムなど、半導体加工装置のプロセスチャンバを洗浄するためにも有用である。プラズマ源を用いて、イオン注入およびイオンエッチングシステムのためのイオンも提供できる。
さらに、プラズマ源は、半導体素子を作製するために用いられるエッチングシステム(例えば、シリコン、二酸化ケイ素、窒化ケイ素、アルミニウム、モリブデン、タングステン、ならびにフォトレジスト、ポリイミドおよびその他の重合体材料などの有機材料にエッチングする)を可能にすることができる。プラズマ源は、例えば、ダイヤモンド、二酸化ケイ素、窒化ケイ素および窒化アルミニウムから作製される薄膜材料のプラズマ促進析出を可能にすることもできる。伝導体および半導体材料だけでなく、高誘電率材料、低誘電率材料の両方をプラズマ促進析出によって析出させることがある。
本システムは、原子状フッ素、原子状塩素、原子状臭素、原子状水素、原子状窒素および原子状酸素など、反応性気体を発生させるためにも有用である。そのような反応性気体は、シリコン、ゲルマニウムおよびGaAsなどのさまざまな半導体、二酸化ケイ素、酸化タンタル、酸化ハフニウム、酸化スズ、酸化亜鉛、酸化ルテニウムおよびインジウム酸化スズなどの酸化物を還元、変換、安定化または不動態化するために有用である。用途は、無フラックスはんだ付け、シリコン表面からの二酸化ケイ素の除去、ウエハ加工に先立つシリコン表面の不動態化およびさまざまな材料の析出またはエッチングを含む。
その他の用途は、重合体、金属、セラミックおよび紙の表面特性の変更を含む。システムは、CF4、NF3、C2F6、CHF3、SF6などの含フッ素化合物およびダイオキシン、フラン類およびその他の揮発性有機化合物などの有機化合物を含む有害気体または環境に望ましくない気体の低減を可能にすることもできる。システムは、殺菌のための原子状酸素、原子状塩素または原子状フッ素の大きなフラックスを発生させることができる。システムは、大気圧放電を可能にすることができる。
従って、第一の様相では、本発明は、プラズマ点火装置を特徴とする。本装置は槽、および槽に隣接する少なくとも一つの点火電極を含む。この電極は、槽によって囲まれるチャンネルの隣接部分に沿った方向の寸法を有する。前記少なくとも一つの点火電極の寸法の全長は、チャンネルの長さの10%より大きい。前記少なくとも一つの点火電極は、チャンネル中の気体に電場を印加して気体のプラズマ放電を開始させることができる。
本装置は、点火電極と協同で作用する基準電極を備えることができる。基準電極および/または点火電極は、槽から熱を除去するヒートシンクとして機能することができる。
第二の様相では、本発明は、槽の全外部表面積の1%より大きな面積を有する少なくとも一つの点火電極を備えるプラズマ点火装置を特徴とする。本装置は基準電極を備えることがあるが、その場合、基準電極および前記少なくとも一つの点火電極の合計表面積は、槽の全外部表面積の2%から100%の範囲にある。これらの特徴に関連するいくつかの実施態様は、誘電体プラズマ槽を備える。他の実施態様は金属プラズマ槽を備え、前記少なくとも一つの点火電極は、金属槽の全外部表面積の10%より大きな面積を有する。
第三の様相では、本発明は、少なくとも3つの点火電極を備えるプラズマ点火装置を特徴とする。第四の様相では、本発明は、気体入り口ポート、気体出口ポート、槽の入り口ポートの近傍を流れる気体への電場の印加のために気体入り口ポートに隣接する点火電極を有する槽を備えるプラズマ点火装置を特徴とする。気体入り口ポートの上流に点火電極を配置することができる。
第五の様相では、本発明はプラズマ装置を特徴とする。本装置は、誘電体材料から作製されるトロイダル槽、槽に隣接するヒートシンクおよび熱インターフェイスを含む。熱インターフェイスは、槽とヒートシンクとの間に、両者と機械連通して配置される。熱インターフェイスは、ヒートシンクと前記槽との間に、熱的に誘起される寸法変化に応えて、熱インターフェイス、ヒートシンクおよび/または槽の動きを調節する空間を定める。ヒートシンクは二つ以上の部分を含んでよく、槽の形状および寸法変化を調節するために、バネ式機構によってこれらの部分を結合することができる。
プラズマ中で発生する紫外線がヒートシンクと槽との間の空間に入ることを妨げるために、槽の外側に被覆物を塗布することができる。被覆物は、誘電体槽を冷却する上で助けとなることがある。
第六の様相では、本発明は、プラズマに点火する方法を特徴とする。本方法は、気体入り口ポートおよび出口ポートを有する槽を提供すること、入り口ポートを通してチャンネルに気体を流すこと、およびチャンネルに気体を流しながら、気体入り口ポートの近傍の気体にイオン化電場を印加することによって、チャンネル中の気体に点火することを含む。
第七の様相では、本発明は、プラズマに点火する方法を特徴とする。本方法は、流量および圧力を有する気体を提供すること、および気体の流量の一部を槽のチャンネルに導くことを含む。流量の少なくとも一部をこのチャンネルから離れた場所に導いておいて、チャンネル中で気体に点火する。気体に点火した後、流量の一部またはすべてをチャンネルに導いてよい。
本発明は、添付の請求項に詳細に記載される。上記およびさらに別の本発明の利点は、添付の図面とともに以下の説明を参照することによって、さらによく理解できる。
(詳細な説明)
(定義)
「プラズマシステム」は、プラズマ発生構成部品を含み、材料加工構成部品を含むことがある装置である。プラズマシステムは、一つ以上の槽、電源構成部品、計測構成部品、制御構成部品およびその他の構成部品を含むことがある。加工は、一つ以上の槽、および/または一つ以上の槽と連通する一つ以上の加工チャンバで起こってよい。プラズマシステムは、プラズマ、またはプラズマ中で発生する反応性気体化学種の供給源、あるいは加工ツール全体であってよい。
「槽」は、気体および/またはプラズマを封入し、内部でプラズマを点火および/または維持できる容器または容器の一部分である。トロイダル槽は、少なくとも一つの誘電部分を含むか、または全体が誘電体材料で作製される。槽は、プラズマボディと呼んでもよい。槽は、発電および冷却構成部品などの他の構成部品と組み合せて、プラズマ加工システムを形成させてもよい。槽は、さまざまな形状を有するチャンネルを定めてよい。例えば、チャンネルは、直線形状を定めてよく、あるいはループ形状(例えばトロイダルプラズマを可能にする)を有することができる。
「チャンネル」は、槽によって定められ、囲まれる空間である。チャンネルは、気体および/またはプラズマを封じ込めることができ、気体およびプラズマ化学種を受け、供給するために槽の一つ以上の入り口ポートおよび一つ以上の出口ポートと連通することができる。プラズマシステムは、チャンネル内にDCまたは振動電場を印加する手段を含んでよい。電場はチャンネル中のプラズマを維持でき、単独でまたは他の手段と協同で、チャンネル中のプラズマに点火できる。
「チャンネルの長さ」は、プラズマが存在できる全経路長さである。トロイダルチャンネルの場合、長さはチャンネルを一周したときの距離と定めてよい。従って、環状のチャンネルは、チャンネルの中央を結んだ円の円周に等しい長さを有する。
「プラズマ」は、気体に関連する荷電粒子の集合を含む物質の状態である。本明細書で用いられるプラズマは、イオン化した化学種に関連する中性原子および/または分子を含んでよい。本明細書では、点火後、槽内の物質を広義にプラズマと呼ぶが、そのような物質をプラズマ状態にある化学種だけからなるものに限定するものではない。
「トロイダルプラズマ」は、閉路の形で、閉路中を循環するプラズマ電流を有するプラズマである。トロイダルプラズマは、「トロイダル槽」によって囲まれる「トロイダルチャンネル」中に存在できる。
「点火」は、気体中の初期分解を引き起こして、プラズマを発生させるプロセスである。
「点火電極」は、槽に容量結合し、槽の中の気体の点火のために電圧を印加できる電極である。例えば、点火電極と基準電極との間、または点火電極と槽の伝導部分との間に点火電圧を印加することができる。一つ以上の点火電極が槽の内部表面または外部表面に隣接することができる(本明細書に記載の例を示す実施態様は外部表面に隣接する点火電極を備える)。
本明細書中で、用語「基準電極」は、状況によって、一つ以上の電極および/または一つ以上の点火電極と協同して機能する槽の一つ以上の伝導性部分を参照するとして広義に解釈するべきである。
「不活性気体」は、アルゴンおよびその他の貴な気体を含む、多くの状況で非反応性であるか、または低い反応速度を有する気体である。
「貴な気体」は、ヘリウム、ネオン、アルゴン、クリプトン、キセノンおよび時にはラドンを含み、化学的な安定性および低い反応速度を示す希ガスの群である。
「反応性気体」は、一つ以上の化学反応に関与する傾向があるいくつかの化学種を含む気体である。反応性気体は、不活性気体でない気体を含む。
「活性化気体」は、イオン、フリーラジカル、中性反応性原子および分子の任意のものを含む。
「ヒートシンク」は、熱の吸収、散逸および/または除去のための一つ以上の部分および/または構成部品を含む構造である。ヒートシンクは、電極、例えば点火電極および基準電極であってもよい。
図1は、トロイダルプラズマシステムの実施態様の断面の概略図である。 図2Aは、プラズマシステムの一部分の実施態様の概略上面断面図である。 図2Bは、図2Aのプラズマシステムの一部分の実施態様の概略側面断面図である。 図3は、プラズマシステムの一部分の実施態様の概略平面図である。 図4Aは、それぞれプラズマシステムの一部分の実施態様の概略平面図、概略断面側面図(面Bに沿う)および断面端面図(面Cに沿う)である。 図4Bは、それぞれプラズマシステムの一部分の実施態様の概略平面図、概略断面側面図(面Bに沿う)および断面端面図(面Cに沿う)である。 図4Cは、それぞれプラズマシステムの一部分の実施態様の概略平面図、概略断面側面図(面Bに沿う)および断面端面図(面Cに沿う)である。 図5Aは、プラズマシステムの部分の四つの実施態様の概略平面図である。 5Bは、プラズマシステムの部分の四つの実施態様の概略平面図である。 図5Cは、プラズマシステムの部分の四つの実施態様の概略平面図である。 図5Dは、プラズマシステムの部分の四つの実施態様の概略平面図である。 図6は、図5に例を示した槽に類似の槽を備えるシステムの実施態様の一部分の断面概略図である。 図7は、気体を解離させる方法の実施態様の流れ図である。 図8は、気体入り口ポートおよび出口ポートを有する線形の形状の槽を備えるプラズマシステムの実施態様の平面図である。 図9は、気体入り口ポートおよび出口ポートを有するトロイダル形状の槽を備えるプラズマシステムの実施態様の平面図である。 図10は、気体を解離させる方法の実施態様の流れ図である。 図11は、図10の方法を実体化できるプラズマシステムの実施態様のブロック図である。 図12は、プラズマ槽からの除熱の助けとなる構成部品を備えるシステムの実施態様の一部分の断面図である。 図13は、図12の槽の一部分および冷却関連構成部品の分解図である。 図14Aおよび14Bは、熱インターフェイスの弾性部分に含むことができる弾性形状の実施態様の側面図である。図14Cは、熱インターフェイスの弾性部分として機能できるバネ部分の実施態様の角度をつけた三次元図である。 図15は、正方形断面槽およびバネ式機構によって互いに結合した四つのヒートシンクセグメントを備えるプラズマシステムの実施態様の一部分の断面図である。 図16は、プラズマ加工システムの実施態様のブロック図である。 図17Aおよび17Bは、気体入り口シャワーヘッドの実施態様の平面図である。 図18Aは、点火電極およびプラズマシステムの隣接部分の実施態様の断面図である。 図18Bは、点火電極およびプラズマシステムの隣接部分の実施態様の断面図である。
まず、図1および2を参照してトロイダルプラズマシステムのいくつかの構造および動作上の様相を説明した後、本発明の上記の特徴およびその他の特徴をさらに詳細に説明する。
図1は、トロイダルプラズマシステム100の実施態様の一部分の断面概略図である。こ の部分は、チャンネル114、磁気コア102、104、106、108、気体入り口118、出口119および合せ面116を定める槽110を含む。
槽110全体は、一つ以上の誘電体材料から作製してよく、あるいは伝導材料および誘電体材料から作製することができる。適切な伝導材料は、アルミニウム、銅、ニッケルおよび鋼などの金属を含む。槽100は、陽極処理アルミニウムまたはニッケルめっきアルミニウムなどの被覆金属から作製してもよい。下記でさらに詳細に説明する本発明のいくつかの実施態様では、槽110は、誘電体材料から作製され、誘電体材料の冷却を助けるために、ヒートシンク材料によって囲まれる。
槽110は、槽110の電気的連続性を破るように、例えば合せ面116のところで、槽110の一部を電気絶縁する少なくとも一つの誘電領域を含む。槽全体を誘電体材料で作製すると、接合面のまったくない、従って合せ面116を含まない槽110を材料の単一片で作製できる。
槽110の接合面は、高真空シールを提供できる。シールはエラストマーシールを含んでよく、あるいはロウ付け接合などの恒久的シールであってよい。
図に示したように、磁気コア102、104、106、108は、槽110を部分的に、すなわちチャンネル114を部分的に囲む。磁気コア102、104、106、108は、例えば、スミスらの米国特許第6,150,628号明細書に記載されているように、変圧器の一次線(図示していない)と共に、チャンネル114に沿った方向の電場および電流を誘導する。チャンネル114中のプラズマが変圧器の二次側回路を完成する。
変圧器は、別の二次回路を形成する別の磁気コアおよび導体一次コイルを備えてよい。例えば一次コイルには、例えば10kHz未満から20MHzを超える範囲の周波数を有するAC電源によって電力を供給することができる。周波数の選択は、プラズマに印加する所望の電力および電圧に依存する。
最適動作周波数の選択は、用途、AC電源および磁気コア材料に依存することがある。例えば、1トール(Torr)から10トールの範囲の圧力を有する酸素および窒素などの気体は、50kHzから14MHzの周波数で特に有利に利用できる。
磁気コア102、104、106、108は、調整可能な一次巻線を有することができる。これによって、例えば、プラズマに印加される電圧および電流を、点火および特定のプロセス動作条件(例えば、特定の圧力、流量および気体化学種条件)に合せて最適化することが可能になる。
AC電源の出力と磁気コア102、104、106、108の一次線の入力との間の回路経路中に、電気構成部品があってよい。これらの構成部品は、抵抗器、キャパシタおよび/またはインダクターを含んでよい。例えば、直列インダクターを用いてプラズマに印加する電圧波形を平滑化し、従ってプラズマ安定性を改善することができる。
構成部品は、固定または可変であってよく、例えば電気または機械的手段によって可変性を制御する。構成部品は、インピーダンス変化回路またはインピーダンス整合ネットワークを形成することができる。
AC電源の出力の、またはAC電源に組み込まれた共振回路を用いて点火するための点火電圧およびループ電圧(すなわちチャンネルに沿う電圧降下)を上げてよい。共振回路は、AC配電線変動から発生するプラズマ不安定性を低減することもできる。
AC電源電圧の整流および平滑化によって、AC電源の入力に接続したDC電源を得ることができる。別の回路によってDC電源電圧を調節して、プラズマに印加する電圧を安定化させ、AC配電線電圧の変動に対する調節を提供することができる。DC電源電圧および電流を用いて、プラズマに供給する電力を制御してもよい。
電力、電流および電圧などのさまざまなパラメータをモニターすることが望ましいことがある。例えばDC電源による電力出力を測定することによって、プラズマに供給される電力を評価することができる。DC電源の出力とプラズマとの間に配置される電気素子の電気損失を測定または評価することによって、電力測定値の精度を上げることができる。電力は、例えばAC電源の出力で測定してもよい。
プラズマに供給される電力は、いくつかの手段、例えばDC電源電圧の大きさ、プラズマに印加されるピーク電流、プラズマに印加される交流電力のデューティーサイクル、プラズマに印加される交流電圧の大きさおよびプラズマに印加される交流電力の周波数変化させることによって制御することができる。AC電源の出力とプラズマとの間の電力伝達の効率を変化させて、プラズマに印加される電力を変えてよい。
プラズマ源、そのAC電源および制御システムのコストおよび複雑さを減らすために、これらの構成部品を単一エンクロージャに一体化することができる。あるいは、柔軟性を高めるために、プラズマ源を以下、すなわち、AC電源、DC電源および制御システムの任意のものから分離することができる。フィールドでの構成部品交換を支援するために、誘電プラズマ槽および関連冷却および取り付け構成部品を他の構成部品から分離することができる。
槽110の形状を変更することができる。例えば、槽110は正方形ドーナツ形状(図に示すように)、長方形ドーナツ形状、丸いドーナツ形状等であってよい。
動作中、気体入り口118に原料気体が流入する。例えば、0.001トールと1000トールとの間の圧力に達するまでをチャンネル114に気体を供給することができる。気体は、不活性気体、反応性気体または少なくとも一つの不活性気体と少なくとも一つの反応性気体との混合物を含んでよい。例えば、点火のために一つの組成、プロセス動作条件のために第二の組成を提供することによって、気体組成を変化させてよい。チャンネル114から出口119を通して、プラズマの部分を供給することができる。
いくつかの実施態様では、イオン化した化学種はほとんど、またはまったくプラズマ槽から流出しないようにプラズマシステムを構成する。その他の実施態様では、例えば、槽と連通するチャンバにおける加工を支援するために、いくつかのイオン化した化学種が槽から供給される。さらに別の実施態様では、チャンバの中でプラズマが発生するように、槽をプロセスチャンバと一体化する。
一度、気体がイオン化されると、プラズマが変圧器の二次側回路を形成し完成する。プラズマ中の電場は、1ボルト/cm未満から100ボルト/cmを超える範囲となることがある。槽110中に貴気体だけが存在すると、プラズマ中の電場は1ボルト/cm以下まで低くなることがある。しかし、チャンバ中に電気陰性の気体が存在すると、プラズマ中の電場は1ボルト/cmより著しく大きくなることがある。
プラズマとチャンバとの間の電位差が低ければ、エネルギー性イオンによるチャンバの浸食および加工される物質の関連汚染を減らすことができるので、チャンネル114中の低い電場で槽110を動作することは有益なことがある。
槽110は、槽110中でプラズマに点火する初期イオン化変化を提供する自由電荷を発生させる手段を備えてよい。初期イオン化変化は、プラズマチャンバに印加される短い高電圧パルスであってよい。このパルスは、約500〜20,000ボルトの電圧を有してよく、約0.1から10マイクロ秒の長さであってよい。もっと長い持続時間、約10マイクロ秒から3秒の高電圧パルスを用いて初期イオン化変化を発生させてもよく、これはRFパルスのことがある。プラズマに点火するのに必要な電圧を低下させるために、アルゴンなどの不活性気体をチャンネルに導入することができる。紫外線放射を用いて、槽110中でプラズマに点火する初期イオン化変化を提供する自由電荷を槽110中に発生させてもよい。
一つの実体化では、磁気コアの一次側に、短い高電圧電気パルスを印加して初期イオン化変化を提供する。別の実体化では、槽110の中または上に配置される単数または複数の電極に、短い高電圧電気パルスを印加する。本発明のその他の例となる実施態様に関して、下記でさらに詳しく点火を説明する。
次に、図2Aおよび2Bを参照して、本明細書で説明するほとんどの実施態様は、対称形ループ形状の誘電体槽を指向するが、本発明の原理は、さまざまな槽構成および材料構成部品を有するプラズマシステムに適用できる。従って、対称形の槽またはループ形状の槽を含むシステム、または全体が誘電体材料で作製された槽を有するシステムに本発明の実体化を限定するものとして、これらの例示的な実施態様を解釈するべきではない。
図2Aおよび2Bは、それぞれ、プラズマシステム200の一部の実施態様の概略平面図および側面断面図である。システム200は、槽210および磁気コア220dを含む(3つのオプションの磁気コア220a、220b、220cを破線で示す)。図2Bは、磁気コア220dと槽210とを通る断面の例を示す。システム200の代わりの実体化は、2個、3個または5個以上の磁気コアを備える。
槽210は、正方形の断面形状を有し、内部にプラズマを維持できるチャンネル215を囲む。槽210は誘電体材料の単一片から作製される。誘電体材料は、例えば石英、サファイヤ、アルミナ、窒化アルミニウムまたはその他のセラミック材料であってよい。槽210を作製するために用いられる材料は、システム200のために予定される用途にもとづいて選んでよい。例えば、動作の予定電力、プラズマ化学種および/または必要な純度レベルにもとづいて材料を選んでよい。
槽210はトロイダルプラズマを可能にし、正方形の断面を有する環状ドーナツの形状を有する。トロイダルプラズマを可能にするその他の槽は、いろいろな形状を有することができる。そのような形状は、例えば、以下の総体的な形状、すなわち楕円ドーナツ形状、正方形ドーナツ形状、長方形ドーナツ形状および多角形ドーナツ形状の任意のものを含んでよく、例えば環状の断面または楕円形の断面を有することができる。
槽210は、一つ以上の気体入り口ポートおよび一つ以上の出口ポートを備えてよい。槽210中のプラズマに対してさらに制御を提供するために、複数ポートを備えてよい。気体の流れの制御、特に点火時の制御を下記でさらに詳しく考察する。
次に図3から11を参照して、改善した点火装置および方法を含む本発明の様相を説明する。図3は、プラズマシステム300の実施態様の一部分の概略平面図である。システム300は、槽310(一部を示す)、および槽310に隣接する点火電極330を含む。
システム300の異なる実体化では、例えば線形チャンネルまたはトロイダルチャンネルを定めるように槽310を作製することができる。システム300は、別の点火電極330(破線で描かれる電極330で例を示すように)を備えてよく、電極330に電圧を供給するために相互配線331を備えてよい。以下の説明は、一つの点火電極330または二つ以上の電極330を有するシステム300に適用される。
電極330は、槽310内に定められるチャンネルに沿った方向の寸法D(破線で示す)を有する。電極330の寸法Dには、長さがある。図3の例では、電極330は、長方形の形状を有し、チャンネルに沿った方向に長くなっている。従って、この例では、電極330の寸法Dの長さとは、長方形の長さ寸法と同じである。二つ以上の電極330を備えるとき、本明細書では、チャンネルに合わせた寸法の全長を、電極330のそれぞれの寸法の合計の長さとする。
図3に示したものと比較して、さらに複雑な点火電極の分布を考えることができる。例えば、図3の線形の分布に加えて、横に並べてまたはずらして点火電極を配置できる。従って、点火電極の寸法の全長は、一つ以上の点火電極によって覆われるチャンネルに沿った長さに対応する。
チャンネル中の気体に点火するか、または気体の点火を支援するために、電極330に電圧を印加する。システム300は、チャンネル中の気体に電場を印加するために点火電極330と協同で用いられる基準電極を備えてよい。基準電極は、接地電極であってよい。あるいは、点火電極330を接地電極として、基準電極に電圧を印加することができる。基準電極は、一つ以上の部分を備えてよい。槽内に伝導性部分を備える実体化は、槽の伝導性部分を基準電極または点火電極として利用することができる。
点火電極330は、先行技術のプラズマシステムで利用できるものに対して、改善された点火を提供する形状、サイズおよび/または位置を有することができる。電極330は、槽310によって定められるチャンネルに沿って分布する容量点火放電を可能にする。長い電極330および/または複数の電極330は、チャンネルに沿って連続的なまたはほとんど連続的なプラズマに同時に点火できる。
一つ以上の電極330は、チャンネルに沿って、例えば、チャネル長の約5%より大きい全長を有する。全長を増加させることによって、点火性能を改善できる。例えば、10%より大きな全長は、改善した点火を提供できる。
本発明のいくつかの好ましい実体化は、10%から80%以上の範囲の点火電極の寸法の全長を含む。全長は、槽の長さの100%であってよい(トロイダル槽の場合、点火電極が少なくとも一つの電気的不連続部を有する限り、全長は槽の長さ、すなわち槽のチャンネルの中央の円周の100%であってよい)。チャネル長さに対する電極長さの比が、選ばれたチャネル長さの定義に依存することは、当業者には自明である。便宜上、本明細書では、最大電極長さに対応するようにチャネル長さを選ぶ。チャンネルの中央に対応するようにチャネル長さを選ぶ必要はないこと、チャンネル中央とは異なる位置に電極を配置できることは自明である。あるいは、本発明の原理によれば、面積(本明細書では、槽に面する電極の表面の面積を点火電極の面積とする)に関して点火電極を定義できる。
従って、一つ以上の点火電極330は、槽310のサイズと比較して大きな総面積を有することができる。槽のサイズに対する点火電極330の面積の関係を、例えば、槽310の全外部表面積または内部表面積に対する全電極330面積として表することができる。
ほとんどの実体化では、全点火電極330面積は、最大で槽310の全外部表面積の100%であってよい。しかし、槽の外部表面に隣接する場所以外に存在し、従って面積比が100%より大きくなることを可能にする点火電極部分を用いて、本発明の原理を実体化することができることは自明である。さらに、例えば、不規則表面を有する点火電極は、100%より大きな面積比を提供することができる。
点火電極による槽310の完全な被覆は、点火電極面積対基準電極面積の最適比があるので、一般には望ましくない。従って、点火電極330の総面積は、槽310の大部分を依然として電極で覆いながらも、槽310の外部表面積の50%より小さいことがある。いくつかの実施態様では、点火電極と基準電極との全面積は、槽310の全内部表面積の1%から99%の範囲にある。
システム300のいくつかの好ましい実体化では、点火電極330と基準電極との合計総面積は、隣接する槽の全内部表面積の3%から75%の範囲にある。一般に、点火電極330面積および/または基準電極面積を増加させると、システム300の点火段階の動作範囲を増加させることがある。
本発明の原理によると、2個、3個、4個以上の点火電極330を備えることによって、利点を提供してもよい。電極330を、槽の長さに沿って分布してよく、槽310に沿って均等間隔に配置することができる。
いくつかの実施態様では、チャンネル中の気体に点火するために、点火電極330にRF電圧またはパルス電圧を印加する。点火電極330面積が大きいほど、容量結合の度合いを増加させ、より大きな密度の初期プラズマ分解を提供し、プラズマ槽のより大きな部分に初期プラズマ分解を提供することによって、トロイダルプラズマの生成を容易にできる。
電極330に印加するピーク電圧は、500Vから20kVの範囲またはそれ以下またはそれ以上であってよい。従って、一つ以上の磁気コアによって印加される容量結合放電と、それに続いて誘導結合放電とを行うことによって、槽310の動作を開始することができる。
点火工程の間のチャンネル内の圧力は、例えば0.001から1000トールの範囲であってよい。槽310の内部表面に隣接して光電子を発生させることによって、紫外(UV)光を用いて点火を支援することができる。
槽310は、様々な構成を有することができる。図4から図6に例を示す本発明の実施態様を参照して、いくつかの代わりの構成の例を説明する。
図4A、4Bおよび4Cは、それぞれプラズマシステム400の一部分の実施態様の概略平面図、概略側面断面図(面Bを通る断面)および端面断面図(面Cを通る断面)である。システム400は、チャンネル415を定める槽410、および槽410に隣接する一つ以上の点火電極430を含む。槽410は、気体入り口ポート441および出口ポート442を有する。
槽410は線形形状を有し、線形チャンネル415を定める。電極430は、正方形の形状を有する。本発明の原理によるシステムの代わりの実施態様は、いろいろなサイズ、形状および/または槽に沿う間隔の点火電極を備えてよい。
次に、トロイダル槽を備えるプラズマシステムのいくつかの実体化を説明する。図5A、5B、5Cおよび5Dは、プラズマシステム500A、500B、500C、500Dの部分の4つの実施態様の概略平面図である。例を示すように、各システム500A、500B、500C、500Dは、槽510a、510b、510c、510d、および槽510a、510b、510c、510dに隣接する少なくとも一つの点火電極530a、530b、530c、530dを備える。槽510a、510b、510c、510dは、トロイダルプラズマの環状チャンネルを定める環状の形状を有する。槽510a、510b、510c、510dは、例えば、上記で説明した利点を得るために、全体を誘電体材料で作製することができる。
点火電極530a、530b、530c、530dは、上記で図3に関して説明したように、チャンネルに合わせた寸法Dを有する。これらの実施態様における点火電極530a、530b、530c、530dの形状は、環状のチャンネルの曲率に従う。従って、例を示すように、各点火電極530a、530b、530c、530dの寸法Dは、関連する電極530a、530b、530c、530dの曲率に従う。従って、寸法Dの長さは、寸法Dの湾曲した経路に沿って測定される。
それぞれの場合に、システム500A、500B、500C、500Dは、破線の構造物が示すように、二つ以上の点火電極530a、530b、530c、530dを備えてよい。上記で説明したように、点火電極530a、530b、530c、530dの面積、数および分布の増加によって、システム500A、500B、500C、500Dの点火性能を改善できる。
図6は、図5で例を示したものに類似の槽610を備えるシステム600の実施態様の一部の断面の概略図である。システム600も、槽610の上面に隣接する点火電極630および槽610の底面および側面に隣接する基準電極640を備える。槽610中の気体中で放電を開始させるために、点火電極630と基準電極640との間に電圧Vを加えてよい。従って、点火時に、点火電極630と基準電極640との間にイオン化電場(電場線650で示す)が表れる。
例えば、金属シートから点火電極630を作製して、槽610に隣接する場所に配置することができる。あるいは、例えば、厚膜または薄膜析出技術によって、槽610上に電極630を作製することができる。
基準電極640は、槽610の底面、内側面および外側面上に個別の部分を備えてよい。あるいは、槽610の周囲を囲む単一の部分を提供するために、これらの部分を結合することができる(破線部分を参照のこと)。基準電極640は、点火電極に関して上記で説明したと同じ理由で必要な槽610の周りに位置する一つ以上の不連続部を有する。
システムの代わりの実施態様では、正方形断面ドーナツ形状の槽の側面に隣接して、一つ以上の点火電極を配置する。同様に、そのような槽の上面または底面によって基準電極を配置することができる。
本発明の原理によれば、大きな点火電極を使用するほど、点火電極とプラズマとの間の結合効率を改善し、従ってより強い初期気体破壊を提供できる。下記で説明するように、改善された初期気体破壊は、様々なプロセスにとって有利なことがある。
ほとんどの状況で、プラズマは、負のインピーダンスを示し。すなわち、プラズマのインピーダンスは、プラズマ密度および電子温度の増加とともに減少する。初期プラズマ密度および電子温度の増加によって、プラズマを発生させおよび/または維持するために必要な誘導電場のレベルは低下する。線形またはトロイダル形状の大きなまたは長いプラズマチャンネルを有するプラズマ槽では、プラズマチャンネルに沿って実質的に連続する強い初期分解を創り出すことによって、主プラズマの生成が容易になることがある。例えば、気体分子への電子付着に起因して電子の損失が著しいことがあるフッ素または酸素などの電気陰性気体に点火するとき、本発明の点火の利点は有用である。
主誘導プラズマの生成後、容量結合点火電極への電力を急速に除去することによって、槽表面のプラズマ衝撃を減らすことができる。これを実現するために、本発明の原理によるシステムのいくつかの実施態様は、プラズマが起動したら、点火電極から電力を除く制御回路を備える。プラズマを点火した後、点火電極への電圧を除く前、エネルギーイオンの衝撃によって表面浸食が生じることがある。従って、例えば、電源の電圧および/または電流波形を測定すること、またはプラズマからの発光を測定することのどちらかによって、プラズマの点火を検出する制御回路を備える。表面浸食を限定するために、制御回路は、プラズマが生成した後、点火電極への電力を除く。
誘電体プラズマ槽の表面上に析出または積層した金属膜から、電極630などの点火電極を作製することができる。金属膜は、例えば銅またはアルミニウムを含んでよく、あるいは金属拡散またはエレクトロマイグレーションが懸念される場合には、チタン、タンタルまたはタングステンなどの耐火材料、またはTiNなどの合金または化合物伝導材料であってよい。
図7は、プラズマを開始できる気体中の放電に点火する方法の実施態様の流れ図である。本方法は、気体入り口ポートおよび出口ポートを有する槽を提供する工程(工程710)を含む。槽は、気体を封じ込めるチャンネルを定める。本方法は、入り口ポートを通してチャンネルに気体を流す工程(工程720)、および気体をチャンネル中に流しながら気体入り口ポート近傍の気体にイオン化電場を印加することによって、チャンネル中の気体に点火する工程(工程730)を含む。
図8および9を参照して、方法700を実体化できる装置の例を説明する。
図8は、気体を収容するチャンネルを定め、気体入り口ポート841および出口ポート842を有する線形形状の槽810を備えるプラズマシステム800の実施態様である。システム800は、槽810の入り口ポート841と流体連通する上流部分880を備える。システム800は、槽810の入り口ポート841の近傍を流れる気体への電界の印加のために、気体入り口ポート841に隣接する少なくとも一つの点火電極890も備える。(点火電極890の二つの代わりの位置を破線構造物で示す。)
例を示すように、点火電極890を、槽810の表面または上流部分880の表面に配置することができる。上流部分880は、例えば気体吐出パイプラインと適合するフランジであってよい。上流部分880および槽810を、材料の単一片、例えば融解石英の単一片から作製することができる。
一つ以上の点火電極890は、入り口ポート841の上流または下流にあってよく、あるいは入り口ポート841と重なってよい。例えば、システム800で実体化した方法700は、改善した点火を提供できる。気体入り口ポート841に隣接する点火電極890は、槽810への気体の入り口部位の近くを流れる気体に点火できる。イオン化成分の流れと協同する点火の部位は、チャンネル全体に沿ってプラズマに接種するのを助けることができる。
図9は、気体入り口ポート941および出口ポート942を有する槽910を備えるシステム900の実施態様である。槽910は、気体を収容するチャンネルを定める。このシステムは、気体入り口ポート941と流体連通する上流部分980を備える。システム900は、槽910の入り口ポート941の近傍を流れる気体への電場の印加のために、気体入り口ポート941に隣接する少なくとも一つの点火電極990を備える。
システム900は、システム800のものに類似するが、線形の槽810をトロイダル形状の槽910で置き換えた特徴を有する。システム900は、方法700を実体化でき、図8に関して説明した利点を提供できる。
上記で示したように、上流点火部位は、流入する気体の流れの中に電子を接種できる。すると、電子は、気体とともにチャンネルに沿って流れ、例えば、プラズマの誘導点火を支援できる。方法700およびシステム800、900は、プラズマシステム製造コストの低減、より容易なフィールドサービスを提供し、槽810、910の内部表面の浸食の減少を提供できる。
次に、図10および11を参照して、プラズマシステムの気体バイパスの特徴によって容易にされる点火に関する本発明の様相を説明する。
図10は、プラズマを開始させるために気体に点火する方法1000の実施態様のフローチャートである。方法1000は、内側にチャンネルを定める槽を提供する工程(工程1010)、チャンネルの外で流量および圧力を有する気体を提供する工程(工程1020)、気体の流量の一部をチャンネルに導く工程(工程1030)および流量の残りの部分をチャンネルから離れた場所に導く一方、チャンネル中で気体に点火する工程(工程1040)を含む。
点火時に、気体の流れの一部をバイパスさせることによって、例えば、首尾よく点火できる流量および圧力の範囲を拡大できる。点火時にチャンネルに入る流量の割合を限定することによって、チャンネル中の気体の点火が容易になる。例えば、流量の割合は、最も小さくてゼロ(すなわちチャンネル中の滞留気体)でよい。
同時に、チャンネル内の圧力は、チャンネル外の気体の圧力と同じであってよい。例えば、圧力は、プラズマが点火した後で用いられる所望の動作圧力であってよい。
方法1000は、気体に点火することに先立って流量を槽の動作のための値に固定する工程(工程1025)を含んでよい。チャンネル中でプラズマが生成した後の動作のための所望の流量に流量を設定することによって、改善したシステム安定性を提供できる。従って、例えば、気体供給源によって提供される気体流量を使用条件で安定化でき、チャンネル中の使用圧力をすべて点火に先立って安定化できる。
前記方法1000は、チャンネル中で気体に点火した後、流量の増加する部分またはすべてをチャンネルに導く工程(工程1050)も含んでよい。従って、従来の方法と比較して、点火から動作プラズマ条件への移行を速く、安定にできる。
図11は、方法1000を実体化できるプラズマシステム1100の実施態様のブロック図である。システム1100は、気体入り口パイプライン1151、パイプライン1151中の流れを制御するバイパス弁1171、およびバイパス気体パイプライン1152を備える。方法1000を実体化するために、例えば、バイパス弁1171は、気体流量のいくらかまたは全部を入り口パイプライン1151からバイパス気体パイプライン1152に導くことができる。
入り口パイプライン1151は、槽1110と流体連通することができる。システム1100は、プロセスチャンバ1190と、槽1110およびチャンバ1190と連通するプラズマ生成物出力パイプライン1153とを備えてよい。
システム1100は、移行時および定常状態の気体の流れの両方を制限するオリフィス1173を備えてよい。オリフィス1173は、バイパスパイプライン1152中の気体の流れの一部分の気体入り口パイプライン1151へのリダイレクションを提供する上で助けとなる。
本システムは、バイパスパイプライン1152中に弁1172を備えてよい。バイパスパイプライン1152は、プラズマ生成物出力パイプライン1153、チャンバ1190および/または排気ポートと流体連通することができる。
方法1000を実体化するために、さまざまな数、種類および配置の弁およびオリフィスを使用できることは、プラズマシステム技術の当業者には自明である。例えば、システム1100に関連する代わりの実体化は、単一のバイパス弁、単一のバイパス弁およびオリフィス、二つのバイパス弁、および二つのバイパス弁および一つのオリフィスを備えてよい。
方法1000は、例えば、前記気体入り口パイプライン1151が高流量および高圧力使用条件でプロセス気体を供給するとき、点火を提供できる。例えば、気体入り口ラインは、2トールの圧力の気体に、O2とN2との混合物を含む気体を、O2は6slm(毎分標準リットル)、N2は0.6slmの合計流量で提供できる。例えば、これらの条件下で、方法1000は信頼できる点火を提供できる。
次に、図12から14を参照して、上記で説明した方法などの改善したプラズマシステムの冷却のための方法および装置を説明する。本発明の原理によれば、誘電プラズマ槽に利用するとき、冷却の特徴は特に有利である。
図12は、プラズマ槽からの除熱を助ける構成部品を備えるシステム1200の実施態様の一部分の断面図である。システム1200は、槽1210、槽1210に隣接するヒートシンク1220、および槽1210とヒートシンク1220との間に配置される熱インターフェイス1230(本明細書では機械的調節層とも呼ぶ)を備える。ヒートシンク1220は電極、例えば点火電極630および/または基準電極640として機能してもよい(図6を参照のこと)。ヒートシンクの中、上または接して流れる水、オイルまたは空気などの冷却流体によって、前記ヒートシンクを冷却することがある。
システム1200は、オプションとして、ヒートシンク1220に制御可能に圧力を加えるバネ式機構1290を備える。バネ式機構1290のいくつかの実体化を、下記で説明する。
熱インターフェイス1230は、均一な含量を有する材料を含んでよい。例えば、熱インターフェイス1230の材料は、単一材料または材料の混合物、例えばコンポジットまたは積層材料であってよい。熱インターフェイス1230は、不連続であってよく、またはヒートシンク1220と槽1210との間の空間を一様に満たすことができる。いくつかの代わりの実施態様では、熱インターフェイス1230と槽1210との間に存在する点火電極への電気的接触のために通路を提供するために、熱インターフェイス1230中に一つ以上のバイアを備える。
熱インターフェイス1230は、熱インターフェイスがヒートシンク1220によって加えられる圧力、および圧力の変化を調節することを可能にする一つ以上の機械的性質を有する。これらの性質は、弾性変形および塑性変形挙動を含んでよい。熱インターフェイス1230は、さまざまな程度の弾性および可撓性を有することができる。例えば、熱インターフェイス1230は、変形可能であってよい。変形可能な挙動は、熱によって誘起されるシステム1200の寸法変化を調節することを支援できる。
熱インターフェイス1230は、種々の熱的挙動および機械的挙動を有する材料を含むコンポジットまたは積層材であってよい。例えば、熱インターフェイス1230は重合体成分および金属成分を含んで、例えば、前者の変形挙動および後者の熱伝導挙動を得る。
システム1200のいくつかの代わりの実施態様では、熱インターフェイス1230は、二つ以上の層を備える。そのような実施態様では、熱インターフェイス1230は、ヒートシンク1220および槽1210の両方と複数の接触位置で機械連通する変形可能部分1231を含む。変形可能部分1231は、剛直または柔軟であってよく、弾性および/または塑性変形可能であってよい。例えば、アセンブリを調節することおよび/または例えば熱的効果による前記システム1200中の寸法変化を調節することを支援するために、変形可能な部分1231は変形可能であってよい。
熱インターフェイス1230は、部分的に変形可能部分1231とヒートシンク1220および/または槽1210との間の接触を媒介できる追加部分1232、1233、1234を備えてよい。追加部分1232、1233、1234のいくつかの実体化を下記で説明する。
熱インターフェイス1230の弾性部分、ヒートシンク1220および槽1210によって、ヒートシンク1220と槽1210との間の空間が定められる。この空間は、熱的効果による構成部品の動きを調節できる。この空間は、熱インターフェイス1230の弾性部分の変形範囲を拡大できる。
図13および14を参照して、変形可能部分1231は、さまざまな材料および構造を含んでよい。図13は、図12のプラズマシステム1200のより詳細な実体化の槽1220および冷却関連構成部品の一部の分解図である。この実体化では、変形可能層1231は、エラストマー層1231aである。エラストマー層1231aは、間隔をおいて配置したエラストマー材料の部分を含む。あるいは、変形可能層1231は、隣接材料の単数または複数の表面に順応する順応層であってよい。
熱インターフェイス1230は、例えば、プラズマが放出する紫外光がエラストマー材料に達して損傷を与えることを妨げるために、遮光層1234aを含んでもよい。紫外光は、望ましくないオゾン生成を引き起こすこともある。遮光層1234aは、槽1210の冷却を助けてもよい。
遮光層1234aは、例えば、材料の薄いシートであってよく、または、例えば、槽1210に塗布した被覆物であってよい。遮光層1234aは、銅などの金属、またはその他の適切な材料から作製することができる。
エラストマー材料を、セラミックまたは金属材料で部分的に充填することができる。そのような材料は、例えば、エラストマー材料の熱的性質および機械的性質を修飾できる酸化アルミニウム、窒化アルミニウム、窒化ボロン、ダイヤモンドおよび黒鉛を含んでよい。
エラストマー層1231aの構成によって、引っ張り、圧縮および/または剪断応力に応える変形が可能になる。あるいは、エラストマー層1231aは、スリットおよび/またはボイドを備えてよい。
エラストマー層1231aは、材料のシートから導いてよく、または薄いコンパウンドとして作製することができる。エラストマー層1231aの厚さは、25マイクロメートル以下から2ミリメートル以上の範囲であってよい。好ましい実体化では、エラストマー層1231aは、槽1210の外部表面積の約25%から100%を覆う。
図12のシステム1200の別の実体化では、熱インターフェイス1230は、銅ウールなどのウール、焼結金属、パターン化金属および/またはバネを含む。金属材料は、多くのエラストマー材料で利用できるより大きな熱伝導率および大きな温度定格を提供できる。
図14A、14Bおよび14Cを参照して、金属から作製できる弾性部分1231のいくつかの実体化を説明する。
図14Aおよび14Bは、熱インターフェイス1230の変形可能部分1231が含むことができる弾性形1300a、1300bの実施態様の側面図である。例を示した、または、さまざまなその他の形状の弾性形1300a、1300bは、上記で説明した熱的に誘起される構成部品の動きに応えて曲がることができる。金属材料から弾性形1300a、1300bを作製することができる。
図14Cは、熱インターフェイス1230の変形可能部分1231として機能できるばね部分1231bの実施態様の角度の付いた三次元図である。部分1231bは、複数のカンチレバー型フィンガーを備える。フィンガーは、例えば銅またはベリリウム銅から作製することができる。カンチレバー型フィンガーは、例えばフィンガーの電気化学的エッチングと、それに続く塑性変形によって最終形状を与えて作製することができる。変形可能部分1231の塑性変形は、槽1210への最終嵌合いの間に起こってよい。ばね部分1231bは、例えば、伝熱を助けるために、ヒートシンク1220および/または槽1210にロウ付け、はんだ付けまたは接着剤で結合することができる。いくつかの実施態様では、部分1231bは、約0.25から25.0ミリメートルのギャップ厚さを提供する。
あるいは、熱インターフェイス1230は、エラストマーおよび金属部品の両方を含んでよい。例えば、追加部分1232、1233のどちらかまたは両方は、ばね部分1231b、ヒートシンク1220および槽1210の間の接触を媒介するために含まれるエラストマー層であってよい。そのような構成は、少なくとも二つの利点、すなわち(1)いくつかの金属材料の熱伝導率および高温度許容度、および(2)いくつかのエラストマー材料の順応性、弾性および界面性質を提供できる。
熱インターフェイス1230は、槽1210とヒートシンク1220との間に制御された厚さを有するギャップを提供するスペーサーとして機能してもよい。この空間は、気体(例えば空気またはHe)で満たすことができる。気体は、例えば約100マイクロメートルより薄い厚みの間の伝熱を支援できる。25マイクロメートルより薄い、および12マイクロメートルより薄い厚みによって、さらに大きな改善を得ることができる。あるいは、この空間は、伝熱を助けるために流体または半流体(グリースなど)で満たすことがあり、小さなギャップの厚みによって、流体の体積は小さい。
ヒートシンク1220(本明細書では冷却シェルとも呼ぶ)は、槽1210の極めて近傍にあってよく、循環流体によって直接冷却してよく、および/または別の冷却表面への別の熱インターフェイスによって伝熱冷却することができる。ヒートシンク1220は、空気で冷却してもよい。ヒートシンク1220は、例えば、金属、セラミックおよびコンポジット材料(例えば、銅、アルミニウム、真鍮、窒化アルミニウム、炭化アルミニウムケイ素および金属マトリックスセラミックコンポジット)の任意の組み合わせから作製することができる。
ヒートシンク1220が伝導性材料から作製されるなら、トロイダル形状の槽1210の場合、ヒートシンク1220中に少なくとも一つの誘電体ギャップが存在する必要がある。誘電体ギャップにかかるピーク電場を低減するために、ヒートシンク1220中に複数の誘電ギャップを備えてよい。ヒートシンクとプラズマ槽1210との間の物理的近接に起因して、ヒートシンクの誘電体ギャップの電場は、プラズマ槽1210に結合してプラズマ槽1210中の局所高電圧電場および対応するプラズマ損傷の原因となることがある。二つ以上の電気的ギャップを有するヒートシンク1220を用いると、誘起された電場を複数のギャップに配分することによって、ピーク電場を低下させプラズマ損傷を軽減できる。複数のヒートシンク部分からヒートシンク1220を作製することによって、プラズマシステム1200のアセンブリを単純化することもある。
ヒートシンク1220は、複数の剛体セグメントから作製することができる。あるいは、熱的に誘起されるサイズおよび形状変化を調節することをさらに助けるために、ヒートシンクは、複数の対応するセグメントから作製することができる。
好ましくは、ヒートシンク1220は、実質的に槽1210のすべてを囲む少なくとも二つのセグメントを含む。ヒートシンク1220をセグメント化すると、トロイダル形などの複雑な形状を有する槽1210を備えるシステム1200のアセンブリが容易になる。トロイダル誘電体槽の場合、組み立てたヒートシンク1220のギャップを提供するために、二つのセグメントは「C」字形であってよい。ヒートシンク1220は、銅またはアルミニウムなどの伝導性材料で作られることがある。正方形の断面を有するトロイダル槽の場合、例えば図6で示したように、好ましくは、ヒートシンク1220は、16個のセグメント、すなわちトロイド形の4つの面(すなわち、トロイダル形状の上部、底部、内部および外部部分)のそれぞれに対して4つのセグメントを含む。
再び図12を参照して、上記で言及したように、ヒートシンク1220および熱インターフェイス1230をプラズマ槽1210の外側に対して押し付けておくために、システム1200は、バネ式機構1290を備えてよい。バネ式機構1290は、ネジまたはクランプなどのヒートシンク接点1291およびばね1292を備えてよい。
バネ1292は、接点1291を通してヒートシンク1220に圧力を加える。加えられる圧力は、槽1210、熱インターフェイス1230およびヒートシンク1220の間の機械的および熱的相互作用を維持し制御するように選んでよい。一つ以上のクランプまたはネジ1291を利用することができる。
一つ以上のバネ1292は、熱的な効果によって生じる寸法変化を調節する。従って、加えられる圧力は、温度変化の間、事実上一定レベルに維持できる。バネ式機構1290は、所望の力を得るために強めてよく、一つ以上のバネ1292の作用によってその力を維持する。
バネ式機構1290は、例えば誘電体トロイダル槽とヒートシンク1220との間の熱インターフェイス1230に圧力を制御して加えることができる。熱インターフェイス1230は、槽1210とヒートシンク1220との間の熱的接触を維持するために、バネ式機構1290によって積極的に圧縮される。
トロイダル誘電プラズマ槽は、比較的複雑な幾何学的形状を有し、除熱を促進するために薄い壁を有することがある。誘電体プラズマ槽も、ヒートシンク材料と適合する熱機械的性質を有しない材料から製造されることがある。そのような槽を含むプラズマシステム1200の実体化は、上記で説明したように、セグメント化されたヒートシンク1220を含むと有利である。
システム1200の一つの好ましい実体化では、システム1200は、セグメント化され、この実体化では誘電体材料で作製される槽1210の大部分またはすべての面を覆うヒートシンク1220を有する。ヒートシンク1220は、バネ式機構1290を用いて制御された量の力で槽1210に対して圧縮される。熱インターフェイス1230は、槽1210と同形化する。システム1200のこの実体化は、誘電体プラズマ槽を有する信頼できるトロイダルプラズマ源を提供する。
図15は、プラズマシステム1500aの実施態様の一部分の断面図の例である。システム1500aは、正方形断面の槽1501aおよびバネ式機構1290aによって互いに結合した4つのヒートシンクセグメント1530aを備える。バネ式機構1290aは、セグメント1520aの一つにねじ込まれたネジ1291aと、前記ネジ1291aの頭部と隣接セグメント1530aとの間に位置するバネ1292aとを含む。バネ式機構1290aの代わりの構成は、関連分野の当業者には自明である。
ヒートシンクセグメント1530aとバネ式機構1290aとの組み合わせは、自立冷却シェルを提供する。自立冷却シェルは、熱ミスマッチによって誘起された槽1501aと冷却シェルとの合計の寸法変化を調節しながら、槽1501aの有効な冷却を助ける。
図16は、上記で説明した本発明の構造的な特徴を備え、方法1000を実体化できるプラズマ加工システム1500の実施態様のブロック図である。システム1500は、交流電源1560と電気連通する少なくとも一つの磁気コア1520によって囲まれる誘電体槽1510を備える。誘電体槽1510は、気体入り口ポート1541および出口ポート1542を有する。16個のヒートシンクセグメント1530は、誘電体槽1510の周りに配置され、電極、例えば点火および/または基準電極としても機能できる。あるいは、点火および/または基準電極は、セグメント1530と槽1510との間にあってもよい。
一つ以上の磁気コア1520は、誘電体槽1510によって定められるチャンネルに合わせた電場およびプラズマ電流を誘導するために、変圧器の一次側として機能する巻線を有する。チャンネル中のプラズマは、変圧器の二次側回路を完成する。トロイダルプラズマを可能にする磁気コアのいくつかの実体化および関連する交流電源は、スミスらの米国特許第6,150,628号明細書に記載されている。
槽1510は、正方形の断面を有し、4つのヒートシンクセグメント1530は、槽1510によって定められる4つの面(すなわち、上面、下面、内側面および外側面)のそれぞれに隣接する。ヒートシンクのセグメント化は、アセンブリを助け、熱的に誘起される寸法変化を調節する。
システム1500は、入り口ポート1541と連通する気体入り口パイプライン1551、バイパス気体パイプライン1552、バイパス弁1571、および誘電体槽1510の出口ポート1542と連通するプロセスチャンバを定めるプロセス槽1590も含む。方法1000を実体化するために、バイパス弁1571は、プラズマ点火の間、気体の流れの一部分またはすべてを入り口パイプライン1551からバイパス気体パイプライン1552へ導くことができる。システム1500は、パイプライン1151から入り口ポート1541への気体の流れを媒介するために、入り口ポート1541の中、またはその近くに気体シャワーヘッド1551を含んでよい。
気体シャワーヘッド1551を取り入れると、プラズマの安定性および槽の内部表面上の熱分布の一様性を改善できる。気体シャワーヘッド1551は、入り口ポート1541を通ってトロイダルチャンネルに導かれる気体の分布を改善できる。
図17Aおよび17Bは、気体の流れを主にプラズマ槽の表面に沿って導くように構成される気体シャワーヘッドの例を示す。図17Aは、例えば図16のシステム1500のシャワーヘッド1553として用いることができる気体シャワーヘッド1553aの実施態様の例を示す。シャワーヘッド1553aでは、小さい、および/または少ない開口部1620aの両側に開口部1610の行が定められる。入り口気体の大部分は、気体入り口ポート1541の中または近くで、開口部1610aの行を通り、上下の内部表面に沿って槽の中を流れる。
図17Bは、例えば、環状の断面を有する槽とともに用いることができる気体シャワーヘッド1553aの実施態様の例を示す。シャワーヘッド1553bでは、小さい、および/または少ない開口部1620bを囲む開口部1610bの環が定められる。入り口気体の大部分は、開口部1610bの環の中、および槽の内側表面に沿って流れる。一つ以上の気体シャワーヘッドによって提供される改善された気体分布は、改善したプラズマの安定性および槽中のより一様な電力分布を提供できる。気流の乱れの発生を制限するシャワーヘッドによって、槽の壁の浸食を軽減できる。
誘導電場は、誘導結合したトロイダルプラズマ槽の内径で最大のことがあり、内径壁においてより高い熱分布が生じる。プラズマはトロイダルプラズマチャンネルの中央に残る傾向があるので、プラズマチャンネルの側壁に沿って入り口気体を注入することによって、内径壁の方へのプラズマの移動を減らすことができる。内径壁に向けてトロイダルプラズマの周りに入り口気体を流すことは、プラズマを内部表面から持ち上げることもあり、壁面により一様な熱分布を生じさせ、プラズマ槽の表面でのピーク電力散逸を軽減する。
プラズマシステム1500が溶融石英槽を備えるとき、好ましくは、システム1500は、石英プラズマ槽の内部の最大温度を約900から1000℃、例えば950℃未満に限定する条件下で動作する。比較的高い温度では、石英の内側表面に、損傷を含む微視的な変化が表れることがある。そのような微視的変化は、望ましくない粒子およびその他の汚染に通じることがある。
槽の加熱は、入り口気体がプラズマを槽の内側表面の方へ押す傾向がある点で最大となることがある。さらに、内側表面とのプラズマの相互作用がより大きいために、熱に関連する表面損傷が悪化することがある。従って、そのような位置を槽に沿うその他の位置で見いだされるよりも低い温度に維持することは望ましいことがある。例えば、壁表面の残りの部分を950℃に近いかまたはこれより高い温度に到達させるが、これらの重要な壁表面は950℃より低い温度に維持することが望ましいことがある。
次に図18Aおよび18Bを参照して、点火電極の代わりの実体化は、熱層1230の性能を改善できる。図18Aは、プラズマシステムの実施態様の一部分の断面図の例を示す。このシステムは、槽表面、熱インターフェイス1230b、ヒートシンク1530bおよび電極スペーサー632の上、またはこれらに隣接する点火電極630aを備える。スペーサー632は、熱インターフェイス1230bと槽表面との間に電極630aによって創り出されるギャップを満たすことができる。
図18Bは、プラズマシステムの実施態様の一部分の断面図の例を示す。このシステムは、セラミック基板635上の点火電極630bを備える。電極630bおよびセラミック基板635を合せたものが、槽表面の上または槽表面に隣接して配置される。このシステムは、ヒートシンク1530bとセラミック基板635との間に配置される熱インターフェイス1230bを備えてよい。槽表面とセラミック基板635との間に第二の熱インターフェイス1230bを配置することができる。第二の熱インターフェイス1230bは、第二の熱インターフェイス1230bが、点火電極630bとセラミック基板635とを合せた表面に共形化することを可能にする共形化性質を有することができる。
点火電極630bは、セラミック基板635上に析出または積層した金属膜であってよい。AlNまたはAl2O3などの高い熱伝導率を有するセラミック材料は、基板材料として好ましい。熱伝導セラミック基板635は、電極630bとヒートシンク1530bとの間に電気絶縁を提供する一方、誘電プラズマ槽とヒートシンク1530bとの間の熱勾配を軽減できる。セラミック基板635は、例えば、熱インターフェイス1230bの信頼性も改善できる。
本発明の別の実体化は、誘電体トロイダル槽およびオンボード交流電源を有するプラズマ源セクションを備える集積化したトロイダルプラズマシステムを特徴とし、このプラズマ源セクションは、装置から取り外せる。プラズマ槽および関連冷却構造は、オンボード電源の解体なしに装置シャシーから取り外せるように構成される。これによって、プラズマ槽をシステムユーザーの場所でシステムの消耗部品として交換することが可能になる。
特定の好ましい実施態様を参照して本発明を詳細に示し、説明してきたが、添付の請求項によって定められる本発明の技術思想および範囲から逸脱することなく、形状および細部のさまざまな変化を本発明の範囲内で施すことがあることを当業者は理解すべきである。例えば、システムは、分布した点火を効率よく支援するために、特定のサイズまたは長さの点火電極ではなく、任意の寸法の槽に沿って間隔をおいて配置される二つ以上の点火電極を含んでよい。例えば、電極は、一つの電極の縁と隣接する電極の縁との間の最大距離が5センチメートルを超えない間隔で配置することができる。

Claims (25)

  1. プラズマ装置であって、
    誘電体材料で形成され、気体を閉じ込めるチャンネルを定める槽と、
    前記槽に隣接するヒートシンクと、
    前記槽と前記ヒートシンクとの間にありこれらと機械的に連通して配置される熱インターフェイスと、
    前記槽と前記熱インターフェイスとの間に配置された紫外線遮光層と、
    を含んでおり、前記熱インターフェイスは、熱的に誘起される寸法変化に応答して前記熱インターフェイスと前記ヒートシンクと前記槽との中の少なくとも一つの動きを調節する空間を前記ヒートシンクと前記槽との間に定めることを特徴とする装置。
  2. 請求項1記載の装置において、前記チャンネルはトロイダル形状を有することを特徴とする装置。
  3. 請求項1記載の装置において、前記ヒートシンクは前記槽を実質的に囲み少なくとも一つのバネ式機構によって相互に結合された少なくとも二つのセグメントを含むことを特徴とする装置。
  4. 請求項3記載の装置において、前記槽はトロイダル形状を有し、前記ヒートシンクは少なくとも二つのバネ式機構によって結合される少なくとも4つのセグメントを含み前記少なくとも4つのセグメントを前記槽に対して圧迫し前記槽と前記ヒートシンクとの間の熱的なミスマッチを調節することを特徴とする装置。
  5. 請求項3記載の装置において、前記ヒートシンクと前記少なくとも一つのバネ式機構とは自立冷却シェルを定めることを特徴とする装置。
  6. 請求項1記載の装置において、前記熱インターフェイスは、コンポジット材料と繊維材料と積層材料との中の少なくとも一つを含むことを特徴とする装置。
  7. 請求項1記載の装置において、前記熱インターフェイスは複数のカンチレバー型フィンガーを含むことを特徴とする装置。
  8. 請求項7記載の装置において、前記複数のカンチレバー型フィンガーは銅とベリリウムとの少なくとも一方を含むことを特徴とする装置。
  9. 請求項1記載の装置において、前記槽は前記誘電体材料からなることを特徴とする装置。
  10. 請求項1記載の装置において、前記熱インターフェイスは複数のコイルを含むことを特徴とする装置。
  11. 請求項1記載の装置において、前記熱インターフェイスは共形材料を含むことを特徴とする装置。
  12. 請求項11記載の装置において、前記共形材料は前記槽の外側表面積の25%から100%を覆うことを特徴とする装置。
  13. 請求項1記載の装置において、前記空間は前記槽から前記ヒートシンクへの伝熱のための気体で満たされ、前記空間の厚さは100マイクロメートル未満であることを特徴とする装置。
  14. 請求項1記載の装置において、前記空間の前記厚みは25マイクロメートル未満であることを特徴とする装置。
  15. 請求項1記載の装置において、前記空間の前記厚さは12マイクロメートル未満であることを特徴とする装置。
  16. 請求項1記載の装置において、前記熱インターフェイスは共形層とバネ層とを含み、前記共形層は、前記ヒートシンクと前記槽との一方と接触する第一の側と前記バネ層と接触する第二の側とを有することを特徴とする装置。
  17. 請求項1記載の装置において、前記槽の入口に隣接して配置され入口気体の流れの大部分を前記槽の内側表面に沿って導く開口部を定める気体入口シャワーヘッドを更に含むことを特徴とする装置。
  18. 請求項1記載の装置において、前記紫外線遮光層は、薄膜状の1枚の材料であることを特徴とする装置。
  19. 請求項1記載の装置において、前記紫外線遮光層は、前記槽に施される被覆であることを特徴とする装置。
  20. 請求項1記載の装置において、前記紫外線遮光層は、金属で形成されることを特徴とする装置。
  21. 請求項1記載の装置において、前記紫外線遮光層は、前記槽中のプラズマにおいて生成される紫外線放射が前記ヒートシンクと前記槽との間の空間に入り込むのを阻止することを特徴とする装置。
  22. 請求項1記載の装置において、前記紫外線遮光層は、前記槽の冷却を助けることを特徴とする装置。
  23. プラズマ装置であって、
    導電性部分を含み気体を含むチャンネルを定める誘電体槽と、
    前記槽に隣接するヒートシンクと、
    前記槽と前記ヒートシンクとの間に配置され前記槽及び前記ヒートシンクと機械的に連通する熱インターフェースと、
    前記槽と前記熱インターフェイスとの間に配置された紫外線遮光層と、
    を含んでおり、前記熱インターフェイスは、熱的に誘起される寸法変化に応答して前記熱インターフェイスと前記ヒートシンクと前記槽との中の少なくとも1つの動きを調節する空間を前記ヒートシンクと前記槽との間に定めることを特徴とするプラズマ装置。
  24. プラズマ装置であって、
    誘電体部分から構成され気体を含むチャンネルを定める誘電体槽と、
    前記槽に隣接するヒートシンクと、
    前記槽と前記ヒートシンクとの間に配置され前記槽及び前記ヒートシンクと機械的に連通する熱インターフェースと、
    前記槽と前記熱インターフェイスとの間に配置された紫外線遮光層と、
    を含んでおり、前記熱インターフェイスは、熱的に誘起される寸法変化に応答して前記熱インターフェイスと前記ヒートシンクと前記槽との中の少なくとも1つの動きを調節する空間を前記ヒートシンクと前記槽との間に定めることを特徴とするプラズマ装置。
  25. プラズマ装置であって、
    誘電体部分から構成され気体を含むチャンネルを定める誘電体槽と、
    前記槽に隣接するヒートシンクと、
    前記槽と前記ヒートシンクとの間に配置され前記槽及び前記ヒートシンクと機械的に連通する熱インターフェースと、
    前記槽と前記熱インターフェイスとの間に配置された光遮光層と、
    を含んでおり、前記熱インターフェイスは、熱的に誘起される寸法変化に応答して前記熱インターフェイスと前記ヒートシンクと前記槽との中の少なくとも1つの動きを調節する空間を前記ヒートシンクと前記槽との間に定めることを特徴とするプラズマ装置。
JP2010259705A 2003-04-16 2010-11-22 トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源 Expired - Lifetime JP5492060B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/417.408 2003-04-16
US10/417,408 US6872909B2 (en) 2003-04-16 2003-04-16 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006509918A Division JP5301096B2 (ja) 2003-04-16 2004-04-12 プラズマに点火する方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013084553A Division JP5695694B2 (ja) 2003-04-16 2013-04-15 プラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2011124226A true JP2011124226A (ja) 2011-06-23
JP5492060B2 JP5492060B2 (ja) 2014-05-14

Family

ID=33158894

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2006509918A Expired - Lifetime JP5301096B2 (ja) 2003-04-16 2004-04-12 プラズマに点火する方法
JP2010259705A Expired - Lifetime JP5492060B2 (ja) 2003-04-16 2010-11-22 トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2010259706A Expired - Lifetime JP5658010B2 (ja) 2003-04-16 2010-11-22 トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2012023990A Abandoned JP2012151115A (ja) 2003-04-16 2012-02-07 トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2013084553A Expired - Lifetime JP5695694B2 (ja) 2003-04-16 2013-04-15 プラズマ処理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006509918A Expired - Lifetime JP5301096B2 (ja) 2003-04-16 2004-04-12 プラズマに点火する方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2010259706A Expired - Lifetime JP5658010B2 (ja) 2003-04-16 2010-11-22 トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2012023990A Abandoned JP2012151115A (ja) 2003-04-16 2012-02-07 トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2013084553A Expired - Lifetime JP5695694B2 (ja) 2003-04-16 2013-04-15 プラズマ処理方法

Country Status (7)

Country Link
US (3) US6872909B2 (ja)
EP (3) EP1618588B1 (ja)
JP (5) JP5301096B2 (ja)
KR (1) KR100810258B1 (ja)
CN (3) CN102339716B (ja)
TW (3) TWI404462B (ja)
WO (1) WO2004095499A2 (ja)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US7238266B2 (en) * 2002-12-06 2007-07-03 Mks Instruments, Inc. Method and apparatus for fluorine generation and recirculation
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
US7307375B2 (en) * 2004-07-09 2007-12-11 Energetiq Technology Inc. Inductively-driven plasma light source
US7948185B2 (en) * 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US7199384B2 (en) * 2004-07-09 2007-04-03 Energetiq Technology Inc. Inductively-driven light source for lithography
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7572741B2 (en) 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101253751B1 (ko) * 2006-07-21 2013-04-11 주성엔지니어링(주) 기판처리장치
JP5590886B2 (ja) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP4721230B2 (ja) * 2006-10-31 2011-07-13 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
JP4926653B2 (ja) * 2006-10-31 2012-05-09 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
US7550927B2 (en) * 2006-11-09 2009-06-23 Applied Materials, Inc. System and method for generating ions and radicals
US7969096B2 (en) * 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US9275839B2 (en) * 2007-10-19 2016-03-01 Mks Instruments, Inc. Toroidal plasma chamber for high gas flow rate process
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
DE102010062349A1 (de) * 2010-12-02 2012-06-06 Robert Bosch Gmbh Zündspule mit integrierter Elektronik
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101325137B1 (ko) * 2011-05-31 2013-11-06 주식회사 뉴파워 프라즈마 아크 방지 기능을 갖는 플라즈마 전원공급 시스템 및 이를 갖는 플라즈마 처리 장치
KR101296723B1 (ko) * 2011-05-31 2013-08-20 주식회사 뉴파워 프라즈마 플라즈마 점화를 위한 점화회로
US20130118589A1 (en) 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
KR101314666B1 (ko) * 2011-11-28 2013-10-04 최대규 하이브리드 플라즈마 반응기
US10115565B2 (en) * 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
CN102705082A (zh) * 2012-05-29 2012-10-03 哈尔滨工程大学 迎风压差式等离子点火喷嘴
AU2013290093B2 (en) * 2012-07-13 2017-09-21 Peter Morrisroe Torches and methods of using them
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US20150303031A1 (en) * 2012-12-28 2015-10-22 New Power Plasma., Ltd. Plasma reactor and plasma ignition method using the same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
WO2014143775A1 (en) * 2013-03-15 2014-09-18 Plasmability, Llc Toroidal plasma processing apparatus
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP2014185363A (ja) * 2013-03-22 2014-10-02 Hitachi Kokusai Electric Inc 基板処理装置、処理容器および半導体装置の製造方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9155184B2 (en) * 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
TWI486996B (zh) * 2013-12-04 2015-06-01 Ind Tech Res Inst 電漿裝置及電漿裝置的操作方法
KR101406696B1 (ko) * 2013-12-27 2014-06-11 (주)제이오션 원격 플라즈마 소스를 위한 플라즈마 블록
US9305749B2 (en) * 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
EP3081921B1 (en) * 2015-04-16 2019-08-14 Heraeus Electro-Nite International N.V. Spectrometer calibration method
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CA3011972A1 (en) * 2016-01-19 2017-07-27 Brilliant Light Power, Inc. Thermophotovoltaic electrical power generator
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
NL2017198B1 (en) 2016-07-20 2018-01-26 Jiaco Instr Holding B V Decapsulation of electronic devices
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6872935B2 (ja) * 2016-09-30 2021-05-19 株式会社ダイヘン プラズマ発生装置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR101977702B1 (ko) * 2016-12-09 2019-05-14 에스케이하이닉스 주식회사 이온 소스 헤드 및 이를 포함하는 이온 주입 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10505348B2 (en) 2017-09-15 2019-12-10 Mks Instruments, Inc. Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102113294B1 (ko) * 2018-05-31 2020-06-16 (주) 엔피홀딩스 절연구간이 개선된 플라즈마 발생기
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11019715B2 (en) 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10553403B1 (en) 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02288061A (ja) * 1989-03-29 1990-11-28 Asea Brown Boveri Ag 高出力放射器
JPH09185954A (ja) * 1995-10-30 1997-07-15 Seiko Epson Corp ランプユニット及びこれを用いた画像読み取り装置
JPH10223182A (ja) * 1997-02-10 1998-08-21 Stanley Electric Co Ltd 蛍光ランプ
JP2002507315A (ja) * 1997-06-26 2002-03-05 アプライド サイエンス アンド テクノロジー,インコーポレイテッド トロイダル低電場反応性ガスソース
JP2003036723A (ja) * 2001-07-19 2003-02-07 Harison Toshiba Lighting Corp 照明装置

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1534251A (en) 1918-01-28 1925-04-21 Charles G Smith Electric light
US2806356A (en) * 1952-08-27 1957-09-17 Theodore Raymond R Bocchio Combustion initiator
US3291715A (en) 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4615851A (en) 1981-09-08 1986-10-07 Ford Motor Company Preparation of beta"-alumina tubes by the extrusion process
JP2569078B2 (ja) * 1987-10-19 1997-01-08 株式会社日立製作所 核融合炉の炉壁
JPH01125933A (ja) * 1987-11-11 1989-05-18 Hitachi Ltd 真空処理方法及び装置
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5158442A (en) * 1991-05-01 1992-10-27 Guerra Romeo E Flare igniter
WO1992022189A1 (en) 1991-05-28 1992-12-10 Seppo Taneli Konkola A method for generating and exploiting a plasma ball or a similar phenomenon in a chamber and the chamber
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05166595A (ja) * 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
US6190512B1 (en) * 1993-09-07 2001-02-20 Tokyo Electron Arizona Inc. Soft plasma ignition in plasma processing chambers
JP3121486B2 (ja) * 1993-12-13 2000-12-25 日本真空技術株式会社 プラズマ処理装置における放電管冷却機構
JPH07176519A (ja) * 1993-12-17 1995-07-14 Shibaura Eng Works Co Ltd 放電処理装置
JPH07273064A (ja) * 1994-03-28 1995-10-20 Sony Corp 微小構造及びその製造方法、及び接続配線構造の形成方法
JPH07282993A (ja) * 1994-04-14 1995-10-27 Kawasaki Heavy Ind Ltd 電子ビーム励起プラズマ発生用電子ビーム発生装置
JPH07302790A (ja) * 1994-04-28 1995-11-14 Tokyo Electron Ltd 熱処理装置
US5972450A (en) 1995-10-10 1999-10-26 Bundy Corporation Metal tubing coated with multiple layers of polymeric materials
WO1996039794A1 (fr) * 1995-06-05 1996-12-12 Tohoku Unicom Co., Ltd. Alimentation servant a une decharge par electrodes multiples
US5834905A (en) 1995-09-15 1998-11-10 Osram Sylvania Inc. High intensity electrodeless low pressure light source driven by a transformer core arrangement
JPH10511806A (ja) * 1995-09-15 1998-11-10 パテント−トロイハント−ゲゼルシャフト フュール エレクトリッシェ グリューラムペン ミット ベシュレンクテル ハフツング 高出力無電極低圧光源
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
WO1997045855A1 (en) * 1996-05-31 1997-12-04 Akashic Memories Corporation Highly tetrahedral amorphous carbon films and methods for their production
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
JPH10270430A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6084199A (en) * 1997-08-01 2000-07-04 Hypertherm, Inc. Plasma arc torch with vented flow nozzle retainer
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
DE19806519A1 (de) * 1998-02-17 1999-08-19 Ruediger Haaga Gmbh Vorrichtung zum Sterilisieren von Behältern mittels eines Niederdruckplasmas
US6441552B1 (en) 1998-04-30 2002-08-27 Physical Sciences Inc. Apparatus and methods for generating persistent ionization plasmas
US6150835A (en) * 1998-05-08 2000-11-21 Intel Corporation Method and apparatus for fast production programming and low-voltage in-system writes for programmable logic device
JP2000117447A (ja) * 1998-10-20 2000-04-25 Purometoron Technic Kk プラズマトーチ用電極の製造方法、プラズマトーチ用電極のためのインサートの製造方法、およびプラズマトーチ用電極のためのインサート
DE19913614C1 (de) 1999-03-25 2000-05-11 Fraunhofer Ges Forschung Vorrichtung und Verfahren zur Behandlung von strömenden Gasen, insbesondere von Abgasen
JP4193320B2 (ja) * 1999-03-30 2008-12-10 昭和電工株式会社 磁気記録媒体の製造方法
JP3784203B2 (ja) * 1999-04-23 2006-06-07 松下電器産業株式会社 マグネトロンスパッタ方法と装置
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
WO2001011650A1 (en) * 1999-08-06 2001-02-15 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP2001150143A (ja) * 1999-11-26 2001-06-05 Komatsu Sanki Kk プラズマ加工用の電極及びプラズマ加工機
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP3640376B2 (ja) * 2000-02-14 2005-04-20 富士電機アドバンストテクノロジー株式会社 薄膜製造方法
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP2002075690A (ja) * 2000-08-24 2002-03-15 Japan Vilene Co Ltd 放電用電極
JP2002072205A (ja) * 2000-09-04 2002-03-12 Hitachi Ltd 液晶表示装置
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
JP2002190475A (ja) * 2000-12-21 2002-07-05 Canon Inc マイクロ波プラズマ処理装置及びそれを用いたプラズマ処理方法並びに物品の製造方法
JP4522003B2 (ja) * 2001-02-26 2010-08-11 株式会社エフオーアイ プラズマ処理装置
JP2002256437A (ja) * 2001-02-27 2002-09-11 Japan Science & Technology Corp ダイヤモンド様炭素多層膜の製造装置
JP3814492B2 (ja) * 2001-04-12 2006-08-30 松下電器産業株式会社 プラズマ処理装置およびプラズマ処理方法
JP3883396B2 (ja) * 2001-05-21 2007-02-21 東京応化工業株式会社 誘導結合プラズマ着火方法
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
KR100481313B1 (ko) * 2001-11-09 2005-04-07 최대규 유도결합 플라즈마 반응기
JP2004006699A (ja) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US6759624B2 (en) * 2002-05-07 2004-07-06 Ananda H. Kumar Method and apparatus for heating a semiconductor wafer plasma reactor vacuum chamber
JP4443818B2 (ja) * 2002-10-02 2010-03-31 パナソニック株式会社 プラズマドーピング方法
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02288061A (ja) * 1989-03-29 1990-11-28 Asea Brown Boveri Ag 高出力放射器
JPH09185954A (ja) * 1995-10-30 1997-07-15 Seiko Epson Corp ランプユニット及びこれを用いた画像読み取り装置
JPH10223182A (ja) * 1997-02-10 1998-08-21 Stanley Electric Co Ltd 蛍光ランプ
JP2002507315A (ja) * 1997-06-26 2002-03-05 アプライド サイエンス アンド テクノロジー,インコーポレイテッド トロイダル低電場反応性ガスソース
JP2003036723A (ja) * 2001-07-19 2003-02-07 Harison Toshiba Lighting Corp 照明装置

Also Published As

Publication number Publication date
CN1774787A (zh) 2006-05-17
TWI404462B (zh) 2013-08-01
EP2296166A3 (en) 2011-10-05
CN1774787B (zh) 2011-10-26
TWI448214B (zh) 2014-08-01
JP2012151115A (ja) 2012-08-09
US7659489B2 (en) 2010-02-09
JP2011124227A (ja) 2011-06-23
EP1618588A2 (en) 2006-01-25
EP2296166A2 (en) 2011-03-16
TW200505292A (en) 2005-02-01
JP2006523934A (ja) 2006-10-19
EP2296166B1 (en) 2014-01-08
TW201119515A (en) 2011-06-01
JP5658010B2 (ja) 2015-01-21
US20050145173A1 (en) 2005-07-07
CN102339716B (zh) 2016-02-24
US20070145023A1 (en) 2007-06-28
JP5492060B2 (ja) 2014-05-14
JP5301096B2 (ja) 2013-09-25
EP1618588B1 (en) 2019-02-13
EP2276052A3 (en) 2011-05-18
US7501600B2 (en) 2009-03-10
CN102339717A (zh) 2012-02-01
EP2276052A2 (en) 2011-01-19
WO2004095499A2 (en) 2004-11-04
KR100810258B1 (ko) 2008-03-06
TWI359628B (en) 2012-03-01
CN102339716A (zh) 2012-02-01
EP2276052B1 (en) 2017-06-07
JP5695694B2 (ja) 2015-04-08
JP2013191566A (ja) 2013-09-26
WO2004095499A3 (en) 2005-02-10
US6872909B2 (en) 2005-03-29
KR20050103183A (ko) 2005-10-27
TW201130402A (en) 2011-09-01
CN102339717B (zh) 2015-11-25
US20040206730A1 (en) 2004-10-21

Similar Documents

Publication Publication Date Title
JP5492060B2 (ja) トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP7187500B2 (ja) 自己共振装置を備えたプラズマ点火装置および方法
US7166816B1 (en) Inductively-coupled torodial plasma source
US6815633B1 (en) Inductively-coupled toroidal plasma source
US7569790B2 (en) Method and apparatus for processing metal bearing gases
EP1831425B1 (en) Method of disposing metal bearing gases
US20080083701A1 (en) Oxygen conditioning of plasma vessels
WO2016126475A1 (en) Apparatus and method for metastable enhanced plasma ignition

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140131

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140228

R150 Certificate of patent or registration of utility model

Ref document number: 5492060

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250