KR100766755B1 - 반도체 소자에서의 투명 비결정질 탄소 구조체 - Google Patents

반도체 소자에서의 투명 비결정질 탄소 구조체 Download PDF

Info

Publication number
KR100766755B1
KR100766755B1 KR1020067005057A KR20067005057A KR100766755B1 KR 100766755 B1 KR100766755 B1 KR 100766755B1 KR 1020067005057 A KR1020067005057 A KR 1020067005057A KR 20067005057 A KR20067005057 A KR 20067005057A KR 100766755 B1 KR100766755 B1 KR 100766755B1
Authority
KR
South Korea
Prior art keywords
delete delete
amorphous carbon
carbon layer
layer
forming
Prior art date
Application number
KR1020067005057A
Other languages
English (en)
Other versions
KR20060057010A (ko
Inventor
즈핑 인
웨이민 리
Original Assignee
마이크론 테크놀로지 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지 인코포레이티드 filed Critical 마이크론 테크놀로지 인코포레이티드
Publication of KR20060057010A publication Critical patent/KR20060057010A/ko
Application granted granted Critical
Publication of KR100766755B1 publication Critical patent/KR100766755B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

투명 비결정질 탄소층이 형성된다. 투명 비결정질 탄소층은 비결정질 탄소층이 가시광에서 투명하도록 저 흡수 계수를 갖는다. 투명 비결정질 탄소층은 반도체 소자에서 다른 목적으로 사용될 수도 있다. 투명 비결정질 탄소층은 반도체 소자에서 최후의 구조체에 포함될 수도 있다. 반도체 소자를 제조하는 동안 투명 비결정질 탄소층은 에칭 프로세스에서 마스크로 사용될 수도 있다.
비결정질 탄소층, 흡수 계수, 반도체 소자, 실리콘

Description

반도체 소자에서의 투명 비결정질 탄소 구조체 {TRANSPARENT AMORPHOUS CARBON STRUCTURE IN SEMICONDUCTOR DEVICES}
관련 출원
이 출원은 다음의 공동 계류중이고 전부 양도된 출원; 여기에 참조로 병합되며 "비결정질 탄소층을 포함하는 다중 층을 갖는 마스킹 구조체" 로 명명된 대리인 사건 번호 제 303.869US1, 출원 번호 제 10/661,100 에 관련된 것이다.
발명의 분야
본 발명은 일반적으로 반도체 소자, 특히 반도체 소자에서 마스킹 구조체에 관한 것이다.
배경
메모리 소자와 같은 반도체 소자는 데이터를 저장하는 많은 컴퓨터 및 전자 제품에 포함되어 있다. 통상적인 반도체 소자는 반도체 웨이퍼에 형성되는 다수의 상이한 물질의 층을 갖는다.
생산과정 동안에, 층들은 많은 프로세스를 거친다. 예를 들어, 패터닝 프로세스는 층 상에 패턴을 넣는다. 어떤 패터닝 프로세스는 마스크를 사용하 여 마스크로부터 마스크 아래의 층으로 패턴을 전사한다.
어떠한 종래의 마스크는 비결정질 탄소로 만들어져 있다. 그러나, 어떤 두께에서 비결정질 탄소 마스크는 높은 광 흡수성을 가져서, 어떤 프로세스에 대해서는 비결정질 탄소 마스크를 사용할 수 없게 한다.
본 발명의 개요
본 발명은 마스킹 구조체를 갖는 소자 및 마스킹 구조체를 형성하는 기술을 갖는 소자를 제공한다. 마스킹 구조체는 저흡수성 특성을 갖는 비결정질 탄소층을 포함한다. 비결정질 층은 전자기 복사 범위의 가시광선 영역에서 투명하다.
도면의 간단한 설명
도 1a 은 본 발명의 일 실시형태에 따라 비결정질 탄소층을 형성하는 방법을 보여주는 흐름도이다.
도 1b 는 본 발명의 일 실시형태에 따른 투명 비결정질 탄소층의 증착 온도에 대한 예시적인 파장에서의 흡수 계수 (k) 를 보여주는 그래프이다.
도 1c 는 본 발명의 일 실시형태에 따른 투명 비결정질 탄소의 파장 범위에 대한 예시적인 온도에서의 흡수 계수 (k) 를 보여주는 그래프이다.
도 1d 는 본 발명의 일 실시형태에 따라 예시적인 온도 및 예시적인 두께에서 몇몇 투명 비결정질 탄소층의 파장범위에 대한 투과율을 보여주는 그래프이다.
도 1e 는 본 발명의 일 실시형태에 따른 투명 비결정질 탄소층을 형성하는 방법의 온도 영역에 대한 예시적인 증착률 (deposition rate) 을 보여주는 그래프이다.
도 2 내지 도 10 은 본 발명의 실시형태에 따른 다양한 프로세싱 단계 동안 소자의 단면도를 보여준다.
도 11 내지 도 19 는 본 발명의 실시형태에 따른 다양한 프로세싱 단계 동안 메모리 소자의 단면도를 보여준다.
도 20 은 본 발명의 일 실시형태에 따른 시스템을 보여준다.
실시형태에 대한 상세한 설명
이하의 설명 및 도면은 당업자가 본 발명을 실시할 수 있도록 본 발명의 특정 실시형태를 충분하게 설명한다. 다른 실시형태는 구조체적, 논리적, 전기적, 프로세스, 및 다른 변형을 포함할 수도 있다. 도면에서, 유사한 숫자는 몇몇 도면에서 대체로 유사한 구성요소를 설명한다. 예들은 단지 가능한 변형만을 예시할 뿐이다. 어떤 실시형태의 일부 및 특징은 다른 실시형태의 일부 및 특징에 포함되거나 치환될 수도 있다. 본 발명의 범위는 청구 범위 및 이용 가능한 동일 형태의 모든 범위를 포함하는 것이다.
도 1a 는 본 발명의 일 실시형태에 따른 비결정질 탄소층을 형성하는 방법을 보여주는 흐름도이다. 방법 100 은 비결정질 탄소층이 가시광선 영역에서 투명하도록 저 흡수 계수를 갖는 탄소층을 형성한다.
가시광선 영역은 육안으로 가시되는 광 (전자기 복사) 을 갖는 전자기 스펙트럼의 영역 (광 영역) 이다. 가시광선 영역은 약 400 nm (나노미터) 와 약 700 nm 사이의 파장을 갖는 임의의 광이다. 비 가시광선 영역은 가시광선 영역을 뺀 모든 전자기 스펙트럼의 범위이다. 비 가시광선 영역의 어떤 예는 700 nm 와 1 mm 사이의 파장 (적외광), 10 nm 와 400 nm 사이의 파장 (자외광), 및 0.01 nm 와 10 nm 사이의 파장 (X-선) 인 전자기 복사를 포함한다.
이 사양에서, 비결정질 탄소층이 가시광선 영역에서 투명하다는 것은, 비결정질 탄소층이 633 nm 의 파장에서 약 0.15 와 약 0.001 사이의 범위인 실질적으로 저 흡수 계수 (k) 를 갖는다는 것을 의미한다. 어떤 실시형태에서, 가시광선 영역에서 투명한 비결정질 탄소층은, 비결정질 탄소층이 633 nm 파장에서 약 0.15 와 0.001 사이 흡수 계수를 갖도록 약 200 ℃ 내지 약 500 ℃ 의 온도에서 형성되는 비결정질 탄소층이다.
도 1a 에서 방법 100 의 박스 (102) 에서, 웨이퍼는 챔버에 놓여진다. 어떤 실시형태에서, 챔버는 화학 기상 증착 챔버이고 웨이퍼는 반도체 웨이퍼이다. 도 1a 에 나타낸 실시형태에서, 챔버는 플라스마 강화 화학 기상 증착 (PECVD) 챔버이다.
박스 (104) 에서, 본 발명에 따라 비결정질 탄소층을 형성하는 프로세스에 대해 파라미터가 설정된다. 파라미터는 온도, 혼합 기체, 기체 유량, 전력, 및 압력을 포함한다. 챔버 내의 온도는 선택된 온도로 설정된다. 선택되는 온도는 약 200 ℃ 내지 약 500 ℃ 의 임의의 온도이다. 어떤 실시형태에서, 온도 는 약 200 ℃ 와 300 ℃ 이하의 사이에서 설정된다. 다른 실시형태에서, 온도는 약 225 ℃ 와 약 375 ℃ 사이로 설정된다.
비결정질 탄소층을 형성하는 프로세스에서, 유량에서 프로필렌 (C3H6) 을 포함하는 프로세스 기체가 챔버로 주입된다. 어떤 실시형태에서, 프로필렌의 유량은 약 분당 500 표준 세제곱 센티미터 (sccm) 와 약 3000 sccm 사이로 설정된다. 유량에서 헬륨을 포함하는 추가적인 기체 또한 챔버로 주입될 수도 있다. 어떤 실시형태에서, 헬륨의 유량은 약 250 sccm 와 약 1000 sccm 사이로 설정된다. 또한, 다른 탄화수소 기체 중 적어도 어느 하나가 프로세스 기체로 사용되는 실시형태로 존재한다. 다른 탄화수소 기체의 예는 CH4 ,C2H2, C2H4, C2H6, 및 C3H8 를 포함한다. 헬륨은 또한 이 탄화수소 기체 중 적어도 어느 하나와의 조합으로 사용될 수도 있다. 따라서, 박스 (104) 에서, 혼합 기체는 챔버로 주입된다.
이 사양에서, 혼합 기체는 오직 하나의 기체 또는 적어도 두 가지 기체의 조합일 수도 있다. 예를 들어, 혼합 기체는 오직 프로필렌 (C3H6) 또는 프로필렌 및 헬륨의 조합일 수도 있다. 다른 예를 들어서, 혼합 기체는 프로필렌, CH4 ,C2H2, C2H4, C2H6, 및 C3H8 중 적어도 어느 하나 일수도 있다. 또 다른 예를 들어, 혼합 기체는 헬륨에 더해진 프로필렌, CH4 ,C2H2, C2H4, C2H6, 및 C3H8 중 적어도 어느 하나일 수도 있다.
방법 100 에서 비결정질 탄소층을 형성하는 프로세스 동안, 챔버는 무선 주파수 (RF) 전력 및 압력 조건하에 있다. 어떤 실시형태에서, 무선 주파수 전력은 약 450 와트와 약 1000 와트 사이로 설정되고, 압력은 약 4 토르와 약 6.5 토르 사이로 설정된다.
박스 (106) 에서, 비결정질 탄소층은 웨이퍼 상에서 증착되는 층으로 형성된다. 비결정질 탄소층은 가시광선 영역에서 투명하다. 어떤 실시형태에서, 방법 100 에 의해 형성되는 비결정질 탄소층은 633 nm 의 파장에서 약 0.15 와 약 0.001 사이의 흡수 계수 (k) 를 갖는다.
방법 100 에 의해 형성되는 비결정질 탄소층이 가시광선 영역에서 투명하기 때문에, 방법 100 에 의해 형성되는 비결정질 탄소층은 또한 투명 비결정질 탄소층이라고 지칭되기도 한다. 따라서, 투명 비결정질 탄소층은 온도가 약 200 ℃ 내지 약 500 ℃ 로 설정된 방법 100 에 따라 형성되는 비결정질 탄소층을 말한다.
방법 100 에 의해 형성되는 비결정질 탄소층의 투명도는 프로세스 동안에 설정된 온도에 일부분 의존한다. 방법 100 에서, 저온에서 특정 두께로 형성되는 비결정질 탄소층의 투명도는 고온에서 그 특정 두께로 형성되는 비결정질 탄소보다 더 투명하다. 예를 들어, 방법 100 에서, 200 ℃ 에서 형성되는 비결정질 탄소층은 500 ℃ 에서 동일한 두께로 형성되는 비결정질 탄소층보다 더 투명하다.
방법 100 에 의해 형성되는 투명 비결정질 탄소층은 메모리 소자 및 마이크로프로세서와 같은 반도체 소자에서 사용될 수도 있다. 예를 들어, 방법 100 에 의해 형성되는 투명 비결정질 탄소층은 반도체 소자의 구조체에 절연층 또는 무반사성 층으로 포함될 수도 있다. 다른 예를 들어서, 방법 100 에 의해 형성되 는 투명 비결정질 탄소층은 반도체 소자의 생산과정 동안 에칭 프로세스에서 마스크로 사용될 수도 있다.
도 1b 는 본 발명의 일 실시형태에 따른 투명 비결정질 탄소층의 증착 온도에 대한 예시적인 파장에서의 흡수 계수 (k) 를 보여주는 그래프이다. 어떤 실시형태에서, 도 1b 의 그래프는 도 1a 에 설명되는 방법에 따라 형성되는 투명 비결정질 탄소층의 흡수 계수를 보여준다.
도 1b 에서, 곡선 (150) 은, 투명 비결정질 탄소층이 약 200 ℃ 내지 약 500 ℃ 의 온도에서 형성 (또는 증착) 될 때, 633 nm 의 파장에서 약 0.15 내지 약 0.001 의 범위를 갖는 흡수 계수 k 를 갖는 투명 비결정질 탄소층을 보여준다. 도 1b 에서, 곡선 (150) 은 예시적인 모양을 갖는다. 어떤 실시형태에서, 곡선 (150) 은 도 1 에 도시된 모양과 다른 모양을 가질 수도 있다.
도 1c 는 본 발명의 일 실시형태에 따른 투명 비결정질 탄소의 파장 범위에 대한 예시적인 온도에서의 흡수 계수 (k) 를 보여주는 그래프이다. 어떤 실시형태에서, 도 1c 의 그래프는 도 1a 에 설명되는 방법에 따라 형성되는 투명 비결정질 탄소층의 흡수 계수를 보여준다.
도 1c 에서, 곡선 (161) 은 375 ℃ 의 예시적인 온도에서 형성되는 투명 비결정질 탄소의 파장 범위에 대한 흡수 계수 (k) 를 보여준다. 곡선 (162) 은 225 ℃ 의 예시적인 온도에서 형성되는 다른 투명 비결정질 탄소의 파장 범위에 대한 흡수 계수를 보여준다.
도 1d 는 본 발명의 일 실시형태에 따라 예시적인 온도 및 예시적인 두께에 서 몇몇의 투명 비결정질 탄소의 파장 범위에 대한 투과율을 보여주는 그래프이다. 어떤 실시형태에서, 도 1d 의 그래프는 도 1a 에 설명되는 방법에 따라 형성되는 투명 비결정질 탄소층의 예시적인 투과율을 보여준다.
도 1d 에서, 곡선 (171, 172, 및 173) 은 다른 온도에서 다른 두께로 형성되는 세 개의 다른 비결정질 탄소층의 파장 범위에 대한 투과율을 보여준다. 곡선 (171) 은 225 ℃ 의 온도에서 3000 옹스트롬의 두께로 형성되는 투명 비결정질 탄소층의 파장 범위에 대한 투과율을 보여준다. 곡선 (172) 은 375 ℃ 의 온도에서 7000 옹스트롬의 두께로 형성되는 투명 비결정질 탄소층의 파장 범위에 대한 투과율을 보여준다. 곡선 (173) 은 375 ℃ 의 온도에서 7000 옹스트롬의 두께로 형성되는 투명 비결정질 탄소층의 파장 범위에 대한 투과율을 보여준다. 도 1d 는 두께, 또는 온도, 또는 둘 모두가 감소할 때 투과가 증가하는 것을 보여준다.
도 1e 는 본 발명의 일 실시형태에 따라 투명 비결정질 탄소층을 형성하는 방법의 온도범위에 대한 예시적인 증착률을 보여주는 그래프이다. 어떤 실시형태에서, 도 1e 의 그래프는 도 1a 에 설명되는 방법에 따라 형성되는 투명 비결정질 탄소층의 일반적인 증착률을 보여준다. 도 1e 는 증착률이 온도에 반비례함을 보여준다. 예를 들어, 250 ℃ 의 온도에서, 증착률은 약 매분 2800 옹스트롬이다. 다른 예로, 400 ℃ 의 온도에서, 증착률은 약 분당 2100 옹스트롬이다.
도 2 내지 도 10 은 본 발명의 실시형태에 따른 다양한 프로세싱 단계 동안 소자 (200) 를 보여준다.
도 2 는 기판 (210) 을 포함하는 소자 (200) 의 단면도를 보여준다. 기판 (210) 은 웨이퍼의 일부를 나타낼 수도 있고, 웨이퍼 자체일 수도 있다. 웨이퍼는 실리콘 웨이퍼와 같은 반도체 웨이퍼일 수도 있다. 기판 (210) 은 또한 웨이퍼 상에서 형성되는 구조체 또는 층일 수도 있다. 기판 (210) 은 부도체 재료, 도체 재료, 및 반도체 재료 중 적어도 하나를 포함할 수도 있다. 부도체재료의 예는 산화물 (예를 들어, SiO2, Al2O3), 질화물 (예를 들어, Si3N4), 및 유리 (보로포스포실리케이트유리; BPSG) 를 포함한다. 도체 재료의 예는 알루미늄, 텅스텐, 다른 금속, 및 합금을 포함한다. 반도체 재료의 예는 실리콘, 및 붕소, 인, 및 비소와 같은 다른 물질로 도핑된 실리콘을 포함한다. 도 2 에 나타난 실시형태에서, 기판 (210) 은 반도체 재료를 포함한다.
기판 (210) 은 정렬 마크 (214) 가 형성된 표면 (212) 을 갖는다. 정렬 마크 (214) 는 기판 (웨이퍼; 210) 의 기준점 또는 좌표로 기능한다. 정렬 프로세스 동안에, 정렬 마크 (214) 가 기판 (210) 을 정렬 또는 위치시키는데 사용되어, 기판 (210) 상의 구조체 및 층이 서로 또는 기판 (210) 과 정확하게 정렬될 수 있게 한다.
도 3 은 기판 (210) 에 형성되는 소자 구조체 (320) 를 갖는 소자 (200) 를 보여준다. 소자 구조체 (320) 는 다중 층 (322, 324, 및 326) 을 포함한다. 이 다중 층 각각은 부도체 재료, 반도체 재료, 및 도체 재료 중 적어도 하나를 포 함할 수도 있다. 예를 들어, 층 (322) 은 산화물층일 수도 있고; 층 (324) 은 금속 층 또는 합금과 실리콘을 갖는 층 일수도 있고; 층 (326) 은 질화물층 일수도 있다. 어떤 실시형태에서, 다중 층 (322, 324, 및 326) 은 도 3 에 도시된 순서와는 다른 순서로 정렬된다. 다중 층 (322, 324, 및 326) 은 성장 또는 증착에 의해 또는 다른 공지의 프로세스에 의해 형성된다. 어떤 다른 실시형태에서, 하나 이상의 층 (322, 324, 및 326) 은 소자 구조체 (320) 로부터 생략된다. 다른 실시형태에서, 층 (322, 324, 및 326) 과 유사한 하나 이상의 추가적인 층이 소자 구조체 (320) 에 추가된다. 소자 구조체 (320) 는 두께 T3 를 갖는다. 어떤 실시형태에서, T3 은 최소한 40000 옹스트롬이다.
도 4a 는 소자 구조체 (320) 상에 형성되는 마스크 (층; 430) 와 함께 소자 (200) 를 보여준다. 마스크 (430) 는 탄소로 만들어진다. 도 4a 에 나타난 실시형태에서, 탄소는 비결정질 탄소이다. 따라서, 도 4a 에서, 마스크 (430) 는 또한 비결정질 탄소층 (430) 이라고도 지칭된다. 비결정질 탄소층 (430) 은 도 1a 에 설명되는 방법 100 과 유사한 방법으로 형성될 수도 있다.
비결정질 탄소층 (430) 은 두께 T4 를 갖고 있다. T4 는 임의의 두께가 될 수 있다. 어떤 실시형태에서, T4 는 최소한 4000 옹스트롬이다. 비결정질 탄소층 (430) 은 비결정질 탄소층 (430) 이 가시광선 영역에서 투명하도록 저 흡수 계수를 갖는다. 어떤 실시형태에서, 비결정질 탄소층 (430) 은 633 nm 의 파장에서 약 0.15 와 약 0.001 사이의 흡수 계수 (k) 를 갖는다.
비결정질 탄소층 (430) 이 가시광선 영역에서 투명하기 때문에, 비결정질 탄 소층 (430) 은 가시광선 영역에서 실질적으로 빛을 흡수하거나 반사하지 않는다. 따라서, 비결정질 탄소층 (430) 의 가시광선 영역에서의 투명도 특성은 기판 (210) 의 정렬과정 동안 기판 (210) 상의 정렬 마크 (214; 도 2) 의 판독을 향상시킨다. 또한, 비결정질 탄소층 (430) 이 가시광선 영역에서 투명하기 때문에, 비결정질 탄소층 (430) 의 두께는 제한되지 않을 수도 있다. 따라서, 비결정질 탄소층 (430) 은, 정렬 마크 (214) 와 같은 정렬 마크의 정확한 판독을 허용하면서, 소자 구조체 (321) 를 적절히 에칭하는 두께로 형성될 수도 있다.
비결정질 탄소층 (430) 의 흡수 계수보다 더 높은 흡수 계수 (또는 더 낮은 투명도) 를 갖는 종래의 비결정질 탄소층과 비결정질 탄소층 (430) 을 비교하면, 종래의 비결정질 탄소층은 어떤 프로세스에서 두께 제한을 가질 수도 있다. 예를 들어, 어떤 프로세스는 특정 두께의 마스크를 요할 수도 있고, 특정 두께의 종래 비결정질 탄소층을 이용하는 것은 종래 비결정질 탄소층의 고 흡수 특성 때문에 정렬 마크를 판독하는데 어려움을 야기하거나 부정확한 판독을 야기할 수도 있다. 따라서, 저 흡수 특성 때문에, 비결정질 탄소층 (430) 은 종래 비결정질 탄소 마스크는 부적합한 특정 두께의 마스크를 요할 수도 있는 프로세스에 유용하다.
소자 (200) 의 비결정질 탄소층 (430) 은 소자 구조체 (320) 와 같은 소자 구조체를 적절히 에칭하기에 충분한 두께로 형성된다. 예를 들어, 비결정질 탄소층 (430) 은 약 4000 옹스트롬 이상의 두께 T4 로 형성되어, 두께 T3 을 갖는 소자 구조체 (320) 를 40000 옹스트롬 이상의 두께로 에칭한다.
도 4b 는 비결정질 탄소층 (430) 에 형성되는 덮개 층 (540) 을 갖는 소자 (200) 를 보여준다. 어떤 실시형태에서, 덮개 층 (540) 은 산화물 재료를 포함한다. 다른 실시형태에서, 덮개 층 (540) 은 비산화물 재료를 포함한다. 도 4b 에서, 덮개 층 (540) 은 x, y, 및 z 가 실수인 실리콘 질산화물 (SixOyNz) 또는 실리콘 함유 산화물 (SixOy) 을 포함한다. 어떤 실시형태에서, 덮개 층 (540) 은 수화된 질산화 규소 (SixOyNz:H) 또는 수화된 산화 규소 (SixOy:H) 를 포함한다.
덮개 층 (540) 은 CVD 및 PECVD 프로세스와 같은 증착 프로세스에 의해 형성될 수 있다. 어떤 실시형태에서, 덮개 층 (540) 은, 덮개 층 (540) 이 비결정질 탄소층 (430) 에서 시추 (situ) 증착되도록 동일한 프로세스 (동일한 프로세싱 단계) 에서 비결정질 탄소층 (430) 과 함께 형성될 수 있다.
도 5 는 덮개 층 (540) 및 비결정질 탄소층 (430) 위에 형성되는 포토레지스트 층을 갖는 소자 (200) 를 보여준다. 포토레지스트 (550) 는 공지된 기술을 이용하여 형성된다. 어떤 실시형태에서, 덮개 층 (540) 은 포토레지스트 층 (550) 을 패터닝하는 동안 비결정질 탄소층 (430) 밑의 층으로부터 포토레지스트 층 (550) 으로의 반사를 감소시키기 위한 무반사성 층으로 기능한다. 반사를 감소시킴으로써 포토레지스트 층 (550) 의 더욱 정확한 패터닝이 가능하다. 다른 실시형태에서, 덮개 층 (540) 은 비결정질 탄소층 (430) 의 패터닝에 대한 마스크로서 기능한다. 어떤 실시형태에서, 덮개 층 (540) 은 무반사성 층 및 마스크 양자로서 기능한다.
비결정질 탄소층 (430) 의 조합, 덮개 층 (540), 및 포토레지스트 층 (550) 은 마스킹 구조체 (560) 를 형성한다. 어떤 실시형태에서, 덮개 층 (540) 은 마스킹 구조체 (560) 로부터 생략된다. 다른 실시형태에서, 비결정질 탄소층 (430), 덮개 층 (540), 및 포토레지스트 층 (550) 외에, 마스킹 구조체 (560) 는 포토레지스트 층 (550) 과 덮개 층 (540) 사이에 형성되는 추가적인 층을 더 포함한다. 추가적인 층은 무반사성 층으로 기능하여 포토 프로세싱 성능을 더 향상시킨다.
도 6 은 포토레지스트 층 (550) 이 패터닝 된 후의 소자 (220) 를 보여준다. 포토레지스트 층 (550) 의 패터닝은 공지된 기술을 이용하여 수행될 수 있다. 도 6 에서, 패터닝된 포토레지스트 층 (550) 은 개구부 (652) 를 갖는다. 패터닝된 포토레지스트 층 (550) 은 마스크로 사용되어 덮개 층 (540) 및 비결정질 탄소층 (430) 을 패터닝한다.
도 7 은 마스킹 구조체 (560) 가 패터닝된 후의 소자 (200) 를 보여준다. 마스킹 구조체 (560) 를 패터닝하는 것은 하나 이상의 에칭 단계에 의해 수행된다. 어떤 실시형태에서, 덮개 층 (540) 및 비결정질 탄소층 (430) 은 하나의 에칭 단계에서 함께 에칭된다. 다른 실시형태에서, 덮개 층 (540) 및 비결정질 탄소층 (430) 은 다른 에칭 단계에서 별도로 에칭된다. 도 7 에 도시된 바와 같이, 패터닝된 덮개 층 (540) 및 패터닝된 비결정질 탄소층 (430) 의 각각은, 연속적이고 포토레지스트 층 (550) 의 개구부 (652) 와 정렬된 개구부를 갖고 있다. 어떤 실시형태에서, 비결정질 탄소층 (430) 이 패터닝된 후에, 마스킹 구조체 (560) 의 층 (430, 540, 및 550) 의 조합은 남겨질 수도 있고 소자 구조체 (320) 의 층을 에칭하는 마스크로 사용된다. 다른 실시형태에서, 비결정질 탄소층 (430) 이 패터닝된 후에, 포토레지스트 층 (550), 또는 포토레지스트 층 (550) 과 덮개 층 (540) 모두의 조합이 제거된다. 마스킹 구조체 (560) 의 남겨진 (제거되지 않은) 층, 또는 층들은 소자 구조체 (320) 및 기판 (210) 중의 하나 또는 모두를 에칭하는 마스크로 사용된다.
도 8 은 포토레지스트 층 (550) 및 덮개 층 (540) 모두가 제거된 후의 소자 (200) 를 보여준다. 이 예에서, 남겨진 비결정질 탄소층 (430) 은 소자 구조체 (320) 의 일부, 또는 소자 구조체 (320) 의 전부를 에칭하는 마스크로 사용된다. 어떤 실시형태에서, 기판 (210) 의 일부는 적어도 또한 마스크로서 비결정질 탄소층 (430) 을 이용하여 에칭된다.
도 9 는 소자 구조체 (320) 가 에칭된 후의 소자 (200) 를 보여준다. 트렌치 (901) 는 에칭 프로세스의 결과로서 형성된다. 도 9 에 나타난 실시형태에서, 트렌치 (901) 는 소자 구조체 (320) 의 적어도 일부에 형성된다. 어떤 실시형태에서, 트렌치 (901) 는 전체 소자 구조체 (320) 및 기판 (210) 의 적어도 일부에 형성된다.
층 (322) 은 레벨 (902) 로 에칭된다. 레벨 (902) 은 기판 (210) 의 표면 (212) 위의 임의의 레벨이다. 도 9 에 나타난 실시형태에서, 소자 구조체 (320) 는, 에칭 프로세스가 층 (326 및 324) 및 부분적으로 층 (324) 을 관통하고 레벨 (902) 에서 정지하도록 에칭된다. 어떤 실시형태에서, 소자 구조체 (320) 는, 레벨 (902) 이 소자 구조체 (320) 의 어디든지 있을 수 있도록 에칭된다. 다른 실시형태에서, 에칭 프로세스는 모든 층 (322, 324, 및 326) 을 관통하고 기판 (210) 의 표면 (212) 또는 표면 (212) 하부에서 정지한다. 에칭 프로세스가 소자 구조체 (320) 로 에칭하는 레벨은, 소자 구조체 (320) 가 에칭된 후에 무엇이 형성될지에 의존한다. 예를 들어, 만약 전도성 배선이 형성될 것이라면 소자 구조체 (320) 는 하나의 레벨로 에칭될 것이고 커패시터와 같은 구성요소가 형성될 것이라면 다른 레벨로 에칭될 것이다.
도 10 은 비결정질 탄소층 (430) 이 제거된 후의 소자 (100) 를 보여준다. 어떤 실시형태에서, 비결정질 탄소층 (430) 은, 산소 플라스마를 이용한 애쉬 프로세스를 사용하여 제거된다. 다른 실시형태에서, 비결정질 탄소층 (430) 은 산소 플라스마 및 CF4 의 조합을 이용한 애쉬 프로세스를 사용하여 제거된다.
도 4a 내지 도 10 에 대한 위의 설명에서, 가시광선 영역에서 투명한, 비결정질 탄소층 (430) 은 소자 구조체 (320) 를 에칭하는 마스크로 사용하기 위하여 마스킹 구조체 (560) 에 포함된다. 어떤 실시형태에서, 비결정질 탄소층 (430) 과 같은 비결정질 탄소층이 또한 소자 구조체 (320) 에 포함된다. 예를 들어, 소자 구조체 (320) 의 층 (322, 324, 326) 중의 하나는 비결정질 탄소층 (430) 과 같은 비결정질 탄소층일 수도 있다. 다른 예를 들어서, 소자 구조체 (320) 는 층 (322, 324, 및 326) 에 더불어 추가적인 층을 포함할 수도 있고, 추가적인 층은 비결정질 탄소층 (430) 과 같은 비결정질 탄소층이다.
비결정질 탄소층이 소자 구조체 (320) 내에 있는 실시형태에서, 소자 구조체 (320) 내의 비결정질 탄소층은 절연 목적, 무반사 목적, 또는 다른 목적에 사용될 수도 있다. 따라서, 소자 구조체 (320) 가 비결정질 탄소층 (430) 과 유사한 비결정질 탄소층을 포함하는 실시형태에서, 마스킹 구조체 (560) 의 비결정질 탄소층 (430) 이 소자 (200) 로부터 제거된 후에 소자 구조체 (320) 의 비결정질 탄소층은 여전히 소자 (200) 에 남는다.
도 10 에 도시된 바와 같이 비결정질 탄소층 (430) 이 제거된 후에, 다른 프로세스가 소자 (200) 에 수행되어, 트랜지스터, 커패시터, 메모리 셀, 또는 메모리 소자, 프로세서, 애플리케이션 특정 집적 회로와 같은 집적회로, 또는 다른 유형의 집적 회로를 형성한다.
도 11 내지 도 19 는 본 발명의 실시형태에 따른 다양한 프로세싱 단계 동안의 메모리 소자 (1100) 의 단면도를 보여준다. 도 11 에서, 메모리 소자 (1100) 는 기판 (1102) 의 표면 (1107) 에 형성되는 정렬 마크 (1104) 를 갖는 기판 (1102) 을 포함한다. 다수의 표면 구조체 (게이트 구조체; 1105; 1105.1 내지 1105.4) 가 기판 (1102) 에 형성된다. 기판 (1102) 내부에, 다수의 확산 영역 (1106; 1106.1 내지 1106.3) 및 고립 구조체 (1107.1 및 1107.2) 가 형성된다. 명료성을 위해, 도 11 은 정렬 마크 (1104) 위에 형성되는 요소가 없는 정렬 마크 (1104) 를 보여준다. 그러나, 도 11 에 도시된 층과 같은 요소가 정렬 마크 (1104) 에 형성될 수도 있다.
메모리 소자 (1100) 는 절연층 (1130), 및 절연층 (1130) 을 통해 확장하는 다수의 컨택트도 포함한다. 각 컨택트 (1140) 는 확산 영역 (1106) 중의 하나에 연결된다. 배리어 층 (1145) 은 표면 구조체 (1105) 를 절연층 (1130) 및 컨택트 (1140) 로부터 분리시킨다. 컨택트 (1140) 은 확산 영역 (1106) 에 전기적 연결을 제공하는 도체 재료로 만들어진다. 배리어 층 (1145) 는 산소, 또는 질소, 또는 표면 구조체 (1105) 와 절연층 (1130) 간의 재료의 교차 확산을 막는 다른 부도체 재료일 수 있다. 어떤 실시형태에서, 배리어 층 (1145) 은 생략된다. 절연층 (1130) 은 컨택트 (1140) 들 간의 절연을 제공한다. 절연층 (1130) 은 붕소 및 인과 같은 하나 이상의 불순물로 도핑된 실리케이트 유리 또는 다른 유형의 도핑된 유리의 층일 수 있다. 예를 들어, 절연층 (1130) 은 붕소 실리케이트 유리 (BSG), 또는 인 규산염 유리 (PSG) 일 수 있다. 도 11 에 나타난 실시형태에서, 절연층 (1130) 은 붕소 인 실리케이트 유리 (BPSG) 를 포함하고 두께 T11 를 갖는다. 어떤 실시형태에서, T11 은 3000 옹스트롬 내지 5000 옹스트롬의 범위에 있다.
도 11 에 나타난 실시형태에서, 기판 (1102) 은 불순물, 예를 들어 붕소로 도핑된 실리콘을 포함하여, 그것을 P-형 재료로 만든다. 확산 영역 (1106) 은 불순물, 예를 들어 인으로 도핑되어, 그것을 N-형 재료로 만든다. 어떤 실시형태에서, 기판 (1102) 은 N-형 물질일 수 있고 확산 영역 (1106) 은 P-형 물질일 수 있다.
각각의 게이트 구조체 (1105) 는 다수의 요소: 게이트 유전체 (게이트 산화물; 1109), 도핑된 폴리실리콘 층 (1112), 실리사이드 층 (1114), 덮개 유전체 층 (1116), 및 유전체 스페이서 (1118) 를 포함한다. 실리사이드 층 (1114) 은 티타늄 실리사이드, 텅스텐 실리사이드와 같은 금속과 실리콘의 조합 및 기타를 포함할 수 있다. 게이트 구조체 (1105) 의 모든 유전체는 실리콘 산화물과 같은 잴료를 포함할 수 있다. 각각의 게이트 구조체 (1105) 는 워드 라인이라고도 지칭된다. 도 11 의 구조체는 공지된 기술을 이용하여 형성될 수 있다.
도 12 는 절연층 (1210) 이 형성된 후의 메모리 소자 (1100) 를 보여준다. 절연층 (1210) 은 절연층 (1130) 과 유사한 BSG, PSG, 또는 BPSG 를 포함할 수 있다. 도 12 의 절연층 (1210) 및 다른 구조체는 소자 구조체 (1220) 를 형성한다. 소자 구조체 (1220) 는 두께 T12 를 갖는다. 어떤 실시형태에서, T12 는 최소한 40000 옹스트롬이다.
도 13 은 비결정질 탄소층 (1330) 이 소자 구조체 (1220) 에 형성된 후의 메모리 소자 (1100) 를 보여준다. 비결정질 탄소층 (1330) 은 비결정질 탄소층 (1330) 이 가시광선 영역에서 투명하도록 저 흡수 계수를 갖는다. 어떤 실시형태에서, 비결정질 탄소층 (1330) 은 633 nm 의 파장에서 약 0.15 와 약 0.001 사이의 흡수 계수 (k) 를 갖는다. 비결정질 탄소층 (1330) 은 도 1a 에서 설명되는 방법 100 과 유사한 방법에 의해 형성될 수도 있다.
비결정질 탄소층 (430) 이 가시광선 영역에서 투명하기 때문에, 비결정질 탄소층 (1330) 은 선택된 두께로 형성되어, 소자 (1100) 의 정렬 과정 동안 정렬 마크 (1104) 판독에 실질적으로 영향을 주지않고 소자 구조체 (1220) 를 적절히 에칭할 수도 있다. 비결정질 탄소층 (1330) 은, 소자 구조체 (1220) 를 적절히 에 칭하도록 적절한 값으로 선택될 수 있는 두께 T13 를 갖는다. T13 은 임의의 두께일 수 있다. 어떤 실시형태에서, T13 은 최소한 4000 옹스트롬이다.
도 14 는 비결정질 탄소층 (1330) 에서 덮개 층 (1440) 및 포토레지스트 층 (1450) 이 형성된 후의 메모리 소자 (1100) 를 보여준다. 어떤 실시형태에서, 두께 층 (1440) 은 산화물 재료를 포함한다. 다른 실시형태에서, 덮개 층 (1440) 은 비산화물 재료를 포함한다. 도 14 에서, 덮개 층 (1440) 은 실리콘 질산화물 (SixOyNz) 또는 실리콘 포함 산화물 (SixOy) 을 포함하고 여기서 x, y, z 는 실수이다. 어떤 실시형태에서, 덮개 층 (1440) 은 수화된 실리콘 질산화물 (SixOyNz:H) 또는 수화 (hydrogenate) 된 실리콘 포함 산화물 (SixOy:H) 를 포함한다. 층 (1440 및 1450) 은 공지된 기술을 이용하여 형성된다. 비결정질 탄소층 (1330), 덮개 층 (1440), 및 포토레지스트 층 (1450) 은 마스킹 구조체 (1460) 를 형성한다. 어떤 실시형태에서, 덮개 층 (1440) 이 마스킹 구조체 (1460) 로부터 생략된다. 다른 실시형태에서, 마스킹 구조체 (1460) 는 포토레지스트 층 (1450) 과 덮개 층 (1440) 사이에 형성되는 추가적인 층을 더 포함한다. 추가적인 층은 무반사성 층으로 기능하여 포토 프로세싱 성능을 더욱 향상시킨다.
도 15 는 포토레지스트 층 (1450) 이 패터닝된 후의 소자 (1100) 를 보여준다. 포토레지스트 층 (1450) 을 패터닝하는 것은 공지된 기술을 이용하여 수행될 수 있다. 패터닝된 포토레지스트 층 (1450) 은 개구부 (1552) 를 포함한다.
도 16 은 마스킹 구조체 (1460) 가 패터닝된 후의 소자 (1100) 를 보여준다. 마스킹 구조체 (1460) 을 패터닝하는 것은 하나 이상의 에칭 단계에 의해 수행될 수 있다. 어떤 실시형태에서, 덮개 층 (1440) 및 비결정질 탄소층 (1330) 은 하나의 에칭 단계에서 함께 에칭된다. 다른 실시형태에서, 덮개 층 (1440) 및 비결정질 탄소층 (1330) 은 다른 에칭 단계에서 각각 에칭된다. 도 16 에 도시된 바와 같이, 패터닝 후에, 각각의 패터닝된 덮개 층 (1440) 및 패터닝된 비결정질 탄소층 (1330) 은, 연속적이고 포토레즈스트 층 (1450) 의 개구부 (1552) 와 함께 정렬된 개구부를 포함한다.
어떤 실시형태에서, 비결정질 탄소층 (1330) 이 패터닝된 후에, 마스킹 구조체 (1460) 의 층 (1330, 1440, 및 1450) 의 조합은 유지될 수 있고 마스크로 사용되어 소자 구조체 (1220) 의 층을 에칭한다. 다른 실시형태에서, 비결정질 탄소층 (1330) 이 패터닝된 후에, 포토레지스트 층 (1450) 또는 포토레지스트 층 (1450) 및 덮개 층 (1440) 의 조합은 제거된다. 마스킹 구조체 (1220) 의 유지되는 (제거되지 않은) 층, 또는 층들은 마스크로 사용되어 소자 구조체 (1220) 를 에칭한다.
도 17 은 소자 구조체 (1220) 가 에칭된 후의 소자 (1100) 를 보여준다. 도 16 에 나타난 실시형태에서, 소자 구조체 (1220) 가 에칭되기 전에 포토레지스트 층 (1450) 및 덮개 층 (1440) 은 모두 제거된다. 비결정질 탄소층 (1330) 이 마스크로 사용되어 소자 구조체 (1220) 의 층을 에칭한다. 에칭된 소자 구조체 (1220) 는 개구부 (1701) 를 갖는다.
도 18 은 비결정질 탄소층 (1330) 이 제거된 후의 소자 (1100) 를 보여준다. 어떤 실시형태에서, 비결정질 탄소층 (1330) 은 산소 플라스마를 이용하여 애쉬 프로세스를 이용하여 제거된다. 다른 실시형태에서, 비결정질 탄소층 (1330) 은 산소 플라스마 및 CF4 의 조합을 이용하여 애쉬 프로세스를 이용하여 제거된다.
도 19 는 공지된 기술을 이용하여 다른 층이 형성된 후의 소자 (1100) 를 보여준다. 각 개구부 (1552) 에서, 제 1 도전층 (1902; 1902.1 및 1902.2), 제 2 도전층 (1904; 1904.1 및 1904.2), 및 유전층 (1906; 1906.1 및 1906.2) 이 형성된다. 도전층 (1902, 1904), 유전층 (1906) 및 다른 요소들은 저장 커패시터 (C1 및 C2) 를 형성한다. 예를 들어, 저장 커패시터 (C1) 에서, 도전층 (1902.1), 컨택트 (1140.1), 및 확산 영역 (1106.1) 은 제 1 커패시터 판 (하부 판) 을 형성하고; 도전층 (1902.2) 은 제 2 커패시터 판 (상부 판) 을 형성하며; 유전층 (1906.1) 은 커패시터 유전체이다. 어떤 실시형태에서, 도전층 (1904) 은 메모리 소자 (1100) 의 공통 셀 판에 연결된다. 공통 셀 판은 간략화를 위해 도 19 에서 생략되었다.
메모리 소자 (1110) 는 액세스 트랜지스터 (T1 및 T2) 를 포함한다. 게이트 구조체 (1105.2) 및 확산 영역 (1106.1 내지 1106.2) 은 액세스 트랜지스터 (T1) 를 형성한다. 게이트 구조체 (1105.3) 및 확산 영역 (1106.2 내지 1106.3) 은 액세스 트랜지스터 (T2) 를 형성한다. 액세스 트랜지스터 (T1) 및 저장 커패시터 (C1) 는 메모리 (CELL1) 를 형성한다. 액세스 트랜지스터 (T2) 및 저장 커패시터 (C2) 는 메모리 (CELL2) 를 형성한다.
메모리 셀 (CELL1 및 CELL2) 은 저장 커패시터 (C1 및 C2) 에 전하의 형태로 데이터를 저장한다. 전하들은 컨택트 (1140.2) 을 통해 커패시터 (C1 및 C2) 의 도핑된 영역 (1106.1 및 1106.3) 으로 및 그로부터 이동된다. 어떤 실시형태에서, 컨택트 (1140.2) 은 메모리 소자 (1100) 의 비트 라인에 연결되는, 매입된 비트 라인 컨택트이다.
다른 실시형태에서, 층 (1902, 1904, 및 1906) 의 구조체와 상이한 구조체를 갖는 다른 요소는 개구부 (1901; 도 17) 에 형성될 수 있다. 예를 들어, 커패시터 판 을 대신한 상호연결은 개구부 (1552) 에 형성될 수 있고 확산 영역 (1106) 을 메모리 소자 (1100) 의 다른 부분과 연결한다.
메모리 소자 (1100) 는 동적 랜덤 액세스 메모리 (DRAM) 소자가 될 수도 있다. DRAM 소자의 예는 보통 SDRAM, SDRAM Ⅱ, SGRAM (동기식 그래픽 랜덤 액세스 메모리), DDR SDRAM (더블 데이터 레이트 SDRAM), DDR Ⅱ SDRAM, DDR Ⅲ SDRAM, GDDR Ⅲ SDRAM (그래픽 더블 데이터 레이트), 및 램버스 DRAM 로 칭해지는 동기식 DRAM 을 포함한다. 메모리 소자 (1100) 는 명료성을 위해 미도시된, 다른 요소를 포함한다.
도 20 은 본 발명의 일 실시형태에 따른 시스템을 보여준다. 시스템 (2000) 은 챔버 (2010) 및 챔버 내부에 위치한 웨이퍼 (2020) 를 포함한다. 어떤 실시형태에서, 챔버 (2010) 는 PECVD 챔버이고 웨이퍼 (2020) 는 반도체 웨이퍼이다. 챔버 (2010) 의 일례는 캘리포니아 산타클라라에 위치한 어플라이드 머터리얼즈, Inc. 로부터 입수 가능한 Producer Processor 라는 챔버를 포함한다. 챔버 (2010) 및 웨이퍼 (2020) 는 도 1 에서 설명되는 방법 100 에서 사용될 수 있고 방법 100 에 따른 투명 비결정질 탄소층을 형성한다.
웨이퍼 (2020) 는 다수의 정렬 마크 (2014) 및 다수의 다이스 (2030) 를 포함한다. 어떤 실시형태에서, 정렬 마크 (2014) 는 정렬 마크 (214; 도 2) 및 정렬 마크 (1104; 도 11) 를 나타낸다.
다이스 (2030) 중 최소한 하나는 도 2 내지 도 19 에서 전술한 실시형태에 따른 요소들을 포함한다. 예를 들어, 다이스 (2030) 중 최소한 하나는 소자 (200 및 1100; 도 2 내지 도 19) 에서의 것들과 같은 기판, 소자 구조체, 및 마스킹 구조체를 포함한다. 따라서, 다이스 (2030) 중 최소한 하나는 도 2 내지 도 19 에서 설명되는 프로세스에 따라 형성되는 비결정질 탄소층 (430; 도 4a) 및 비결정질 탄소층 (1330; 도 13) 과 같은 비결정질 탄소층을 포함한다.
다이스 (2030) 중의 하나와 같은 다이는 웨이퍼 (2020) 과 같은 반도체 웨이퍼 상의 패턴이다. 다이는 특정 기능을 수행하는 회로를 포함한다. 예를 들어, 다이스 (2030) 중 최소한 하나는 프로세서, 또는 메모리 소자 (1100; 도 11 내지 도 19) 와 같은 메모리 소자와 같은 소자에 대한 회로를 포함한다.
결론
본 발명의 다양한 실시형태는 투명 비결정질 탄소층을 형성하는 기술을 제공한다. 투명 비결정질 탄소층은 소자의 일정한 구조체를 에칭하는 마스크로 사용될 수 있다. 또한 비결정질 탄소층은 다른 목적을 갖는 소자 구조체의 일부 가 될 수도 있다. 여기에 특정 구조체가 설명되었을지라도, 당해 기술분야의 당업자는 다른 실시형태가 동일한 목적을 달성하는 것으로 보이는 특정 실시형태를 대신할 수도 있다는 것을 인지한다. 이 출원은 본 발명의 임의의 적용 또는 변형을 포함한다. 따라서, 본 발명은 청구항 및 이용가능한 모든 등가에 의해서만 제한된다.

Claims (112)

  1. 하나 이상의 정렬 마크를 포함하는 기판;
    상기 기판 위에 형성되는 소자 구조체; 및
    상기 소자 구조체 위에 형성되며, 가시광선 영역에서 상기 정렬 마크의 판독을 허용하기 위해, 상기 가시광선 영역에서 투명한 비결정질 탄소층을 포함하는 마스킹 구조체를 포함하는, 반도체 소자.
  2. 제 1 항에 있어서,
    상기 비결정질 탄소층은 633 나노미터의 파장에서 약 0.15 와 약 0.001 사이의 흡수 계수를 갖는, 반도체 소자.
  3. 제 1 항에 있어서,
    상기 가시광선 영역은 400 나노미터와 700 나노미터 사이의 파장을 갖는 전자기 복사를 포함하는, 반도체 소자.
  4. 제 1 항에 있어서,
    상기 비결정질 탄소층은 4000 옹스트롬보다 큰 두께를 갖는, 반도체 소자.
  5. 제 4 항에 있어서,
    상기 소자 구조체는 40000 옹스트롬보다 큰 두께를 갖는, 반도체 소자.
  6. 제 1 항에 있어서,
    상기 마스킹 구조체는 상기 비결정질 탄소층 위에 형성되는 실리콘 질산화물 층을 더 포함하는, 반도체 소자.
  7. 제 1 항에 있어서,
    상기 마스킹 구조체는 포토레지스트 층을 더 포함하는, 반도체 소자.
  8. 제 7 항에 있어서,
    상기 마스킹 구조체는 무반사성 층을 더 포함하는, 반도체 소자.
  9. 제 7 항에 있어서,
    상기 포토레지스트 층은 적어도 하나의 개구부를 포함하는, 반도체 소자.
  10. 제 9 항에 있어서,
    상기 비결정질 탄소층은 상기 포토레지스트 층의 적어도 하나의 개구부와 연속되는 적어도 하나의 개구부를 포함하는, 반도체 소자.
  11. 제 1 항에 있어서,
    상기 소자 구조체는 도체 재료, 부도체 재료, 및 반도체 재료로 구성되는 그룹의 재료로부터 선택되는 층을 포함하는, 반도체 소자.
  12. 제 11 항에 있어서,
    상기 소자 구조체는 비결정 탄소층을 더 포함하며,
    상기 소자 구조체의 상기 비결정질 탄소층은 가시광선 영역에서 투명한, 반도체 소자.
  13. 제 1 항에 있어서,
    상기 기판은 복수의 도핑된 영역을 포함하고,
    상기 소자 구조체는 복수의 게이트 구조체, 복수의 컨택트, 및 상기 게이트와 컨택트 위에 형성되는 절연층을 포함하며,
    상기 컨택트의 각각은 2 개의 게이트 구조체 사이에 위치 되고 상기 도핑된 영역 중 하나에 접촉하는, 반도체 소자.
  14. 기판 위에 소자 구조체를 형성하는 단계; 및
    비결정질 탄소층의 형성을 포함하는, 상기 기판 위에 마스킹 구조체를 형성하는 단계를 포함하고,
    상기 기판은 하나 이상의 정렬 마크를 포함하며,
    가시광선 영역에서 상기 정렬 마크의 판독을 허용하기 위해, 상기 비결정질 탄소층은 가시광선 영역에서 투명한, 방법.
  15. 제 14 항에 있어서,
    상기 비결정질 탄소층은 형성하는 단계는,
    적어도 4000 옹스트롬의 두께를 갖는 상기 비결정질 탄소층의 형성을 포함하는, 방법.
  16. 제 15 항에 있어서,
    상기 소자 구조체를 형성하는 단계는,
    적어도 40000 옹스트롬의 두께를 갖는 상기 소자 구조체의 형성을 포함하는, 방법.
  17. 제 14 항에 있어서,
    상기 마스킹 구조체를 형성하는 단계는,
    상기 비결정질 탄소층 위에 실리콘 질산화물 층을 형성하는 단계를 더 포함하는, 방법.
  18. 제 17 항에 있어서,
    상기 실리콘 질산화물 층은 상기 비결정질 탄소층과 함께 인-시추 (in situ) 증착되는, 방법.
  19. 제 14 항에 있어서,
    상기 비결정질 탄소층을 형성하는 단계는,
    패터닝된 비결정질 탄소층을 형성하도록 비결정질 탄소층을 패터닝하는 단계를 포함하는, 방법.
  20. 제 19 항에 있어서,
    상기 소자 구조체를 형성하는 단계는,
    상기 패터닝된 비결정질 탄소층을 마스크로 사용하여 상기 소자 구조체를 패터닝하는 단계를 포함하는, 방법.
  21. 제 14 항에 있어서,
    상기 마스킹 구조체를 형성하는 단계는,
    패터닝된 포토레지스트 층을 형성하는 단계를 더 포함하는, 방법.
  22. 제 21 항에 있어서,
    상기 마스킹 구조체를 형성하는 단계는,
    상기 패터닝된 포토레지스트 층을 마스크로 사용하여 패터닝된 비결정질 탄소층을 제공하기 위하여, 상기 비결정질 탄소층을 패터닝하는 단계를 더 포함하는, 방법.
  23. 제 22 항에 있어서,
    상기 소자 구조체를 형성하는 단계는,
    상기 패터닝된 비결정질 탄소층을 마스크로 사용하여 상기 소자 구조체를 패터닝하는 단계를 포함하는, 방법.
  24. 제 14 항에 있어서,
    상기 비결정질 탄소층은 633 나노미터의 파장에서 약 0.15 와 약 0.001 사이의 흡수 계수를 갖는, 방법.
  25. 제 24 항에 있어서,
    상기 비결정질 탄소층은 약 200 ℃ 내지 약 500 ℃ 의 온도 범위에서 형성되는, 방법.
  26. 제 14 항에 있어서,
    상기 가시광선 영역은,
    400 나노미터와 700 나노미터 사이의 파장을 갖는 전자기 복사를 포함하는, 방법.
  27. 제 14 항에 있어서,
    상기 비결정질 탄소층을 형성하는 단계는,
    약 200 ℃ 로부터 약 300 ℃ 이하까지의 온도에서 상기 비결정질 탄소층을 형성하는 단계를 포함하는, 방법.
  28. 제 27 항에 있어서,
    상기 비결정질 탄소층을 형성하는 단계는,
    약 4 토르 내지 약 6.5 토르 범위의 압력, 약 450 와트 내지 약 1000 와트 범위의 무선 주파수 전력 범위, 및 프로필렌을 포함하는 혼합 기체 조건 하의 챔버에서 수행되는, 방법.
  29. 제 28 항에 있어서,
    상기 혼합 기체는 헬륨을 더 포함하는, 방법.
  30. 제 29 항에 있어서,
    상기 프로필렌은 분당 500 표준 세제곱 센티미터 (sccm) 와 3000 sccm 사이의 유량으로 챔버로 주입되는, 방법.
  31. 제 30 항에 있어서,
    상기 헬륨은 250 sccm 과 1000 sccm 사이의 유량으로 챔버로 주입되는, 방법.
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 삭제
  84. 삭제
  85. 삭제
  86. 삭제
  87. 삭제
  88. 삭제
  89. 삭제
  90. 삭제
  91. 삭제
  92. 삭제
  93. 삭제
  94. 삭제
  95. 삭제
  96. 삭제
  97. 삭제
  98. 삭제
  99. 삭제
  100. 삭제
  101. 삭제
  102. 삭제
  103. 삭제
  104. 삭제
  105. 삭제
  106. 삭제
  107. 삭제
  108. 삭제
  109. 삭제
  110. 삭제
  111. 삭제
  112. 삭제
KR1020067005057A 2003-09-12 2004-09-08 반도체 소자에서의 투명 비결정질 탄소 구조체 KR100766755B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/661,379 US7132201B2 (en) 2003-09-12 2003-09-12 Transparent amorphous carbon structure in semiconductor devices
US10/661,379 2003-09-12

Publications (2)

Publication Number Publication Date
KR20060057010A KR20060057010A (ko) 2006-05-25
KR100766755B1 true KR100766755B1 (ko) 2007-10-15

Family

ID=34273863

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067005057A KR100766755B1 (ko) 2003-09-12 2004-09-08 반도체 소자에서의 투명 비결정질 탄소 구조체

Country Status (7)

Country Link
US (6) US7132201B2 (ko)
EP (1) EP1668684A1 (ko)
JP (1) JP2007505497A (ko)
KR (1) KR100766755B1 (ko)
CN (1) CN100530561C (ko)
TW (1) TWI262551B (ko)
WO (1) WO2005034229A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102029127B1 (ko) * 2019-02-08 2019-10-07 영창케미칼 주식회사 반도체 제조 공정에 있어서 실리콘 또는 실리콘 화합물 패턴을 형성하기 위한 신규 방법

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7115524B2 (en) * 2004-05-17 2006-10-03 Micron Technology, Inc. Methods of processing a semiconductor substrate
US7341906B2 (en) * 2005-05-19 2008-03-11 Micron Technology, Inc. Method of manufacturing sidewall spacers on a memory device, and device comprising same
KR100724568B1 (ko) * 2005-10-12 2007-06-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US20070123050A1 (en) * 2005-11-14 2007-05-31 Micron Technology, Inc. Etch process used during the manufacture of a semiconductor device and systems including the semiconductor device
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US7563688B2 (en) * 2006-02-24 2009-07-21 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
KR100780652B1 (ko) 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자 제조방법
KR100954107B1 (ko) * 2006-12-27 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US7935618B2 (en) 2007-09-26 2011-05-03 Micron Technology, Inc. Sputtering-less ultra-low energy ion implantation
US8102117B2 (en) * 2007-11-30 2012-01-24 World Properties, Inc. Isolation mask for fine line display
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100258526A1 (en) * 2009-04-08 2010-10-14 Jaihyung Won Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8513129B2 (en) 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9171749B2 (en) 2013-11-13 2015-10-27 Globalfoundries U.S.2 Llc Handler wafer removal facilitated by the addition of an amorphous carbon layer on the handler wafer
KR20150055473A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 탄소 함유 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001778A1 (en) * 2000-06-08 2002-01-03 Applied Materials, Inc. Photolithography scheme using a silicon containing resist
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US570316A (en) 1896-10-27 le blois
JPS58204534A (ja) 1982-05-24 1983-11-29 Hitachi Ltd X線リソグラフイ用マスク
USH566H (en) * 1985-12-04 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Apparatus and process for deposition of hard carbon films
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
GB2198505B (en) * 1986-12-12 1990-01-10 Pilkington Perkin Elmer Ltd Improvements in or relating to weapon aiming systems
JPS63155145A (ja) * 1986-12-19 1988-06-28 Seiko Instr & Electronics Ltd マスクの白点欠陥修正方法
US6224952B1 (en) * 1988-03-07 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4971853A (en) 1988-05-04 1990-11-20 Syracuse University Laser directed chemical vapor deposition of transparent metal films
IL88837A (en) * 1988-12-30 1993-08-18 Technion Res & Dev Foundation Method for the preparation of mask for x-ray lithography
KR950011563B1 (ko) * 1990-11-27 1995-10-06 가부시끼가이샤 도시바 반도체장치의 제조방법
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
EP0531232A3 (en) 1991-08-26 1993-04-21 Eastman Kodak Company High durability mask for use in selective area, epitaxial regrowth of gaas
AU650782B2 (en) * 1991-09-24 1994-06-30 Canon Kabushiki Kaisha Solar cell
US5369040A (en) * 1992-05-18 1994-11-29 Westinghouse Electric Corporation Method of making transparent polysilicon gate for imaging arrays
JP2530990B2 (ja) * 1992-10-15 1996-09-04 富士通株式会社 薄膜トランジスタ・マトリクスの製造方法
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5358880A (en) * 1993-04-12 1994-10-25 Motorola, Inc. Method of manufacturing closed cavity LED
US5346729A (en) * 1993-05-17 1994-09-13 Midwest Research Institute Solar-induced chemical vapor deposition of diamond-type carbon films
JPH0773909A (ja) * 1993-08-23 1995-03-17 Ebara Res Co Ltd 光電気化学装置
US5431800A (en) * 1993-11-05 1995-07-11 The University Of Toledo Layered electrodes with inorganic thin films and method for producing the same
US6420095B1 (en) * 1994-03-18 2002-07-16 Fujitsu Limited Manufacture of semiconductor device using A-C anti-reflection coating
JP3441011B2 (ja) * 1994-03-18 2003-08-25 富士通株式会社 アモルファスカーボンを用いた半導体装置製造方法
US5589307A (en) * 1994-05-26 1996-12-31 Dai Nippon Printing Co., Ltd. Printing plate and process for preparing the same
TW366367B (en) * 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US6128700A (en) * 1995-05-17 2000-10-03 Monolithic System Technology, Inc. System utilizing a DRAM array as a next level cache memory and method for operating same
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5946594A (en) * 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
DK0897577T3 (da) * 1996-05-11 2002-04-22 Univ Manchester Fotorefraktiv komposit
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
JP3408074B2 (ja) * 1996-09-06 2003-05-19 キヤノン株式会社 屋根材一体型太陽電池及びその施工方法
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JP3327811B2 (ja) * 1997-05-13 2002-09-24 キヤノン株式会社 酸化亜鉛薄膜の製造方法、それを用いた光起電力素子及び半導体素子基板の製造方法
JPH1146006A (ja) * 1997-07-25 1999-02-16 Canon Inc 光起電力素子およびその製造方法
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6140570A (en) * 1997-10-29 2000-10-31 Canon Kabushiki Kaisha Photovoltaic element having a back side transparent and electrically conductive layer with a light incident side surface region having a specific cross section and a module comprising said photovolatic element
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6833280B1 (en) * 1998-03-13 2004-12-21 Micron Technology, Inc. Process for fabricating films of uniform properties on semiconductor devices
US6262450B1 (en) * 1998-04-22 2001-07-17 International Business Machines Corporation DRAM stack capacitor with vias and conductive connection extending from above conductive lines to the substrate
JP3763667B2 (ja) * 1998-04-23 2006-04-05 株式会社東芝 半導体発光素子
JPH11307782A (ja) * 1998-04-24 1999-11-05 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP3884564B2 (ja) * 1998-05-20 2007-02-21 出光興産株式会社 有機el発光素子およびそれを用いた発光装置
ATA119098A (de) * 1998-07-09 1999-05-15 Ims Ionen Mikrofab Syst Verfahren zur erzeugung eines kohlenstoffilmes auf einem substrat
US6281100B1 (en) * 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
JP2992516B1 (ja) * 1998-09-04 1999-12-20 株式会社日立製作所 半導体装置の製造方法
US6140652A (en) * 1998-09-09 2000-10-31 Intersil Corporation Device containing sample preparation sites for transmission electron microscopic analysis and processes of formation and use
US6566757B1 (en) * 1998-11-30 2003-05-20 Intel Corporation Stabilization of low dielectric constant film with in situ capping layer
JP3259704B2 (ja) * 1998-12-30 2002-02-25 日本電気株式会社 半導体装置の製造方法
US6166427A (en) * 1999-01-15 2000-12-26 Advanced Micro Devices, Inc. Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
US6447891B1 (en) * 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6313896B1 (en) * 1999-08-31 2001-11-06 International Business Machines Corporation Method for forming a multi-domain alignment layer for a liquid crystal display device
US6300631B1 (en) * 1999-10-07 2001-10-09 Lucent Technologies Inc. Method of thinning an electron transparent thin film membrane on a TEM grid using a focused ion beam
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP4467692B2 (ja) * 1999-12-22 2010-05-26 株式会社半導体エネルギー研究所 太陽電池及びその作製方法
KR20010059284A (ko) * 1999-12-30 2001-07-06 박종섭 반도체 소자의 캐패시터 형성방법
JP2001223384A (ja) * 2000-02-08 2001-08-17 Toshiba Corp 半導体発光素子
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP5121090B2 (ja) * 2000-02-17 2013-01-16 アプライド マテリアルズ インコーポレイテッド アモルファスカーボン層の堆積方法
US6795636B1 (en) * 2000-03-05 2004-09-21 3M Innovative Properties Company Radiation-transmissive films on glass articles
JP3415551B2 (ja) * 2000-03-27 2003-06-09 日本電気株式会社 半導体装置の製造方法
US20020003239A1 (en) * 2000-06-28 2002-01-10 Motorola, Inc. Semiconductor structure and device including a carbon film and method of forming the same
US6710389B2 (en) * 2001-02-09 2004-03-23 Matsushita Electric Industrial Co., Ltd. Semiconductor memory device with trench-type stacked cell capacitors and method for manufacturing the same
US6551941B2 (en) * 2001-02-22 2003-04-22 Applied Materials, Inc. Method of forming a notched silicon-containing gate structure
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US6741341B2 (en) * 2002-02-04 2004-05-25 Bae Systems Information And Electronic Systems Integration Inc Reentry vehicle interceptor with IR and variable FOV laser radar
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20030198814A1 (en) * 2002-04-23 2003-10-23 3M Innovative Properties Company Retroreflective sheeting comprising thin continuous hardcoat
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
JP4316188B2 (ja) * 2002-05-29 2009-08-19 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US20040011730A1 (en) * 2002-07-18 2004-01-22 Powell James R. AVS slurry feed mechanism
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6864556B1 (en) * 2002-07-31 2005-03-08 Advanced Micro Devices, Inc. CVD organic polymer film for advanced gate patterning
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6875664B1 (en) * 2002-08-29 2005-04-05 Advanced Micro Devices, Inc. Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
US6787452B2 (en) * 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6825114B1 (en) * 2003-04-28 2004-11-30 Advanced Micro Devices, Inc. Selective stress-inducing implant and resulting pattern distortion in amorphous carbon patterning
US6713802B1 (en) * 2003-06-20 2004-03-30 Infineon Technologies Ag Magnetic tunnel junction patterning using SiC or SiN
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US6980358B2 (en) * 2003-09-29 2005-12-27 Coherent, Inc. Turning prism for ultraviolet radiation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US20020001778A1 (en) * 2000-06-08 2002-01-03 Applied Materials, Inc. Photolithography scheme using a silicon containing resist

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102029127B1 (ko) * 2019-02-08 2019-10-07 영창케미칼 주식회사 반도체 제조 공정에 있어서 실리콘 또는 실리콘 화합물 패턴을 형성하기 위한 신규 방법
WO2020162667A1 (ko) * 2019-02-08 2020-08-13 영창케미칼 주식회사 반도체 제조 공정에 있어서 실리콘 또는 실리콘 화합물 패턴을 형성하기 위한 신규 방법
US11488834B2 (en) 2019-02-08 2022-11-01 Young Chang Chemical Co., Ltd Method for forming silicon or silicon compound pattern in semiconductor manufacturing process

Also Published As

Publication number Publication date
CN1879201A (zh) 2006-12-13
US20050056835A1 (en) 2005-03-17
JP2007505497A (ja) 2007-03-08
TWI262551B (en) 2006-09-21
KR20060057010A (ko) 2006-05-25
US20060022247A1 (en) 2006-02-02
CN100530561C (zh) 2009-08-19
US7298024B2 (en) 2007-11-20
US20060003237A1 (en) 2006-01-05
WO2005034229A1 (en) 2005-04-14
US20050059262A1 (en) 2005-03-17
US20060244086A1 (en) 2006-11-02
US20060008741A1 (en) 2006-01-12
TW200518209A (en) 2005-06-01
US7220683B2 (en) 2007-05-22
US7132201B2 (en) 2006-11-07
EP1668684A1 (en) 2006-06-14

Similar Documents

Publication Publication Date Title
KR100766755B1 (ko) 반도체 소자에서의 투명 비결정질 탄소 구조체
US7341957B2 (en) Masking structure having multiple layers including amorphous carbon layer
KR100242352B1 (ko) 반도체 장치를 위한 자기 정합 컨택트홀의 제조방법
US8916472B2 (en) Interconnect formation using a sidewall mask layer
KR20020063803A (ko) eDRAM 보조 디바이스 노치 게이트의 설계 방법
US20050208727A1 (en) Method of etching bottle trench and fabricating capacitor with same
US20080251824A1 (en) Semiconductor memory device and manufacturing method thereof
KR100626928B1 (ko) 자기 정합 콘택 식각용 실리사이드 게이트 스택을 형성하는 방법
KR100489657B1 (ko) 반도체 장치의 패턴 형성 방법 및 이를 이용한 반도체장치의 제조방법
US6900118B2 (en) Method for preventing contact defects in interlayer dielectric layer
KR100360150B1 (ko) 반도체소자의캐패시터형성방법
US6673719B2 (en) Method for etching using a multilevel hard mask
US6187629B1 (en) Method of fabricating a DRAM capacitor
KR0141949B1 (ko) 반도체소자의 제조방법
KR100400285B1 (ko) 반도체 소자의 제조방법
US6207581B1 (en) Method of fabricating node contact hole
KR0169597B1 (ko) 반도체 소자의 캐패시터 제조방법
JPH11135628A (ja) 半導体装置の製造方法
US6277734B1 (en) Semiconductor device fabrication method
US20030124795A1 (en) Method of forming a polysilicon to polysilicon capacitor
KR20010052043A (ko) 자기 정렬 컨택트와 랜딩 패드 구조를 갖는 반도체 장치및 그 형성 방법
KR20000033153A (ko) 반도체 장치의 제조 방법
KR20030001081A (ko) 불화아르곤 전사법을 이용한 비트라인 형성 방법
KR20000067425A (ko) 반도체 장치의 제조 방법
JPH06151767A (ja) 半導体集積回路装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120919

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130924

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141001

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150917

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190925

Year of fee payment: 13