KR100376628B1 - 집적회로내의전도성상호접속구조및전도성상호접속형성방법 - Google Patents
집적회로내의전도성상호접속구조및전도성상호접속형성방법 Download PDFInfo
- Publication number
- KR100376628B1 KR100376628B1 KR1019950010894A KR19950010894A KR100376628B1 KR 100376628 B1 KR100376628 B1 KR 100376628B1 KR 1019950010894 A KR1019950010894 A KR 1019950010894A KR 19950010894 A KR19950010894 A KR 19950010894A KR 100376628 B1 KR100376628 B1 KR 100376628B1
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- patterned
- silicon
- overlying
- conductive interconnect
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 38
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 80
- 239000010703 silicon Substances 0.000 claims abstract description 80
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 79
- 239000010410 layer Substances 0.000 claims description 279
- 229910052751 metal Inorganic materials 0.000 claims description 52
- 239000002184 metal Substances 0.000 claims description 52
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 36
- 229920005591 polysilicon Polymers 0.000 claims description 36
- 230000003667 anti-reflective effect Effects 0.000 claims description 13
- 239000000758 substrate Substances 0.000 claims description 13
- 239000004065 semiconductor Substances 0.000 claims description 12
- 229910021332 silicide Inorganic materials 0.000 claims description 10
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 9
- 238000005530 etching Methods 0.000 claims description 8
- 239000012790 adhesive layer Substances 0.000 claims description 5
- 239000013464 silicone adhesive Substances 0.000 claims description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims 18
- 229910052757 nitrogen Inorganic materials 0.000 claims 9
- 229910052581 Si3N4 Inorganic materials 0.000 abstract description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 abstract description 9
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 abstract description 7
- 229910021342 tungsten silicide Inorganic materials 0.000 abstract description 7
- 238000004299 exfoliation Methods 0.000 abstract 1
- 230000000873 masking effect Effects 0.000 description 10
- 239000000463 material Substances 0.000 description 9
- 238000001020 plasma etching Methods 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 229910021417 amorphous silicon Inorganic materials 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 239000006117 anti-reflective coating Substances 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- ZXEYZECDXFPJRJ-UHFFFAOYSA-N $l^{3}-silane;platinum Chemical compound [SiH3].[Pt] ZXEYZECDXFPJRJ-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- -1 cobalt silicide Chemical compound 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910021344 molybdenum silicide Inorganic materials 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021339 platinum silicide Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000001953 recrystallisation Methods 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical group FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53271—Conductive materials containing semiconductor material, e.g. polysilicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
- H01L21/28044—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
- H01L21/28061—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S148/00—Metal treatment
- Y10S148/012—Bonding, e.g. electrostatic for strain gauges
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
본 발명의 일실시예에서, 아래에 놓이는 패턴된 텅스텐 규화물층(32)으로부터 패턴된 실리콘 질화물 반-반사층(26)의 박리는 패턴된 텅스텐 규화물층(32)과 위에 놓이는 패턴된 실리콘 질화물 반-반사층(26) 사이에 얇은 실리콘층(30)을 형성함으로써 방지된다,
Description
관련 출원의 참조문
본 출원은 다음과 같이 공동으로 양도된 특허, 즉 로만 등에 의한 발명의 명칭"반도체 기판상에 집적 회로 패턴을 형성하는 방법 및 구조"인 미국 특허 제 5,378,659 호와 관련되어 있다.
발명의 분야
본 발명은 집적 회로들에 관한 것으로서, 특히 집적 회로에 전도성의 상호 접속 구조를 형성하는 방법에 관한 것이다.
발명의 배경
폴리 실리콘, 알루미늄, 내화 금속들 및 금속 규화물들과 같은 고 반사적 상호 접속 재료들의 폭 넓은 이용과 결부되어, 끈임 없이 감소하는 기하 도형적 배치들을 갖는 집적 회로들에 대한 반도체 산업의 계속적인 추세는 사진 석판 패터닝 문제점들을 증가시켜 왔다. 이들의 아래에 놓이는 상호 접속 재료들로부터 원치 않았던 반사들은 포토레지스트(photo resist) 패터닝 공정 동안에 상호 접속 포토레지스트 패턴 및 그 결과로 되는 상호 접속을 왜곡되게 한다. 이러한 문제는 자외선(UV) 및 딥 자외선(deep ultraviolet)(DUV) 노출 파장들을 가진 사진 석판 이미징 툴들이 포토 레지스터 패턴들을 생성시키기 위해 사용될 때 더 한층 심해지게 된다.
아래에 놓이는 반사적 상호 접속 재료로부터 반사들을 최소화하기 위해 제안된 하나의 기술은 포토레지스트 패터닝에 우선하여 이 재료에 걸쳐 반-반사(anti-reflectitve) 코팅을 형성하는 것이다. 그러나, 반-반사 코팅과 아래 놓이는 상호 접속 재료 사이의 접착력은 종종 약하고, 반-반사 코팅이 아래에 놓이는 상호 접속 재료로부터 박리되며, 결과적으로 집적 회로 생산이 저하하게 된다. 그러므로, 아래 놓이는 반-반사 코팅과의 상호 접속의 형성은 성취하기 어렵고, 서브미크론 상호 접속 기하 도형적 배치들로 진보된 집적 회로들의 제조가 제한된다.
따라서, 상호 접속들이 반-반사 코팅을 갖는 집적 회로에 형성될 수 있게 하는 방법의 필요성이 존재한다.
발명의 개요
집적 회로에서의 전도성 상호 접속을 형성하는 기존의 방법들이 갖고 있는 상술한 문제점들은 본 발명에 의해 해결된다. 본 발명의 일 실시예에서, 반도체 기판이 제공된다. 그 다음에, 반도체 기판 위에 유전층이 형성된다. 그 다음에, 유전층 위에 전도성 금속층이 형성된다. 그 다음에, 전도성 금속층 위에 실리콘층이 형성된다. 그 다음에, 실리콘층 위에 캡핑층이 형성된다. 그 다음에, 이 캡핑층은 캡피층의 나머지 부분을 형성하기 위해 에칭된다. 그 다음에, 전도성 상호 접속을 형성하기 위해 전도성 금속층이 에칭되며, 여기서, 캡핑층의 나머지 부분이 전도성 상호 접속 위에 놓인다. 본 발명의 다른 측면은 본 명세서에 개시된 다른 방법으로 형성된 전도성 상호 접속 구조들뿐만 아니라, 이러한 방법으로 형성된 것들도 포함한다.
이들 특징과 다른 특징들 및 장점들은 첨부 도면들과 연계하여 취해진 다음의 상세한 설명으로부터 보다 명확히 이해 될 것이다. 예시도가 필히 스케일로 도시하지 않는 것과 특별히 예시되지 않는 본 발명의 또 다른 실시예들이 존재할 수 있다는 점을 고려한다.
양호한 실시예의 상세한 설명
제 1 도 내지 제 5 도는 본 발명의 일 실시예에 따른 공정 단계를 단면도로 도시하고 있으며, 여기서, 전도성 상호 접속이 집적 회로에 형성된다. 제 1 도에서 도시한 것은 반도체 기판(12), 유전체층(14) 및 실리콘층(16)을 포함하는 집적 회로 구조의 부분(10)이다. 반도체 기판(12)은 단결정 실리콘이 양호하다. 선택적으로, 반도체 기판(12)은 또한 절연체 기판상의 실리콘, 사파이어 기판상의 실리콘등이 될 수 있다.
일 실시예에서 유전체층(14)은 게이트 유전체층이며, 양호하게는 약 4 내지 20nm 까지의 두께 범위를 갖는다. 대안적으로, 다른 실시예에서 유전체층(14)은 내부 레벨 유전체층이며 양호하게는 약 50 내지 1500nm 의 두께 범위를 갖는다. 유전체층(14)이 게이트 유전체층이면, 반도체 기판(12)은 유전체층(14)을 형성하기 위해 열적으로 양호하게 산화된다. 그러나, 실리콘 산화 질화물 또는 화학 증착된 실리콘 이산화물과 같은 다른 유전체 재료들이 또한 게이트 유전체층을 형성하기 위해 이용될 수도 있음을 이해해야 한다. 유전체층(14)이 내부 레벨 유전체층이면, 유전체층(14)은 종래의 화학 증착, 플라즈마 침착 또는 스핀온 침착 기술들등을 이용하여 침착되는, 도핑되거나 도핑되지 않은 실리콘 이산화물 층이 바람직하다. 그러나, 실리콘 질화물이나 중합체와 같은 다른 유전체 재료들이 인터레벨(interlevel) 유전체층을 형성하기 위해 이용될 수도 있음을 이해해야 한다.
유전체(14)의 형성에 이어서, 실리콘층(16)이 유전체(14)층 위에 놓여 형성된다. 양호한 실시예에서, 실리콘층(16)은 도핑된 폴리 실리콘층이며, 양호하게는 50 내지 400 nm 의 두께 범위를 갖는다. 예를 들어, 일 실시예에서, 폴리 실리콘층은 약 100nm 의 두께를 갖는다. 대안적으로, 실리콘층(16)은 도핑된 폴리 실리콘층일 수도 있고, 혹은 도핑되거나 도핑되지 않은 비정질 실리콘층일 수도 있다. 실리콘층(16)은 종래의 화학 증착 기술들을 이용하여 양호하게 형성되며, 종래의 주입 및 확산 기술들을 이용하여 도핑될 수 있다.
제 2 도에 있어서, 전도성 금속층(18)은 실리콘층(16) 위에 놓여 형성된다. 양호한 실시예에서 전도성 금속층(18)은 50 내지 300nm 까지의 두께 범위를 갖는 텅스텐 규화물층이다. 예를 들어, 일 실시예에서, 텅스텐 규화물층은 약 100nm 의 두께를 가질 수 있다. 대안적으로, 전도성 금속층(18)은 코발트 규화물, 티타늄 규화물, 몰리브덴 규화물, 플라티늄 규화물, 니켈 규화물, 팔라듐 규화물과 같은, 또 다른 금속 규화물일 수 있거나, 텅스텐이나 몰리브데늄 층일 수 있다. 전도성 금속층(18)은 종래의 스퍼터링이나 화학 증착 기술들을 이용하여 형성될 수 있다. 대안적으로, 전도성 금속층(18)은 종래의 어닐링 기술들과 결합하여 종래의 스퍼터링이나, 화학 증착 기술들을 이용하여 형성될 수도 있다. 예를 들어, 티타늄, 코발트, 플라티늄 등과 같은 금속은 실리콘층(16)에 스퍼터 침착될 수 있으며 그리고 나서 계속해서 금속 규화물층을 형성하기 위해 노(furnace)내에서 또는 급속한 열 어닐링 시스템내에서 어닐링된다. 부가적으로, 스퍼터 또는 화학 증착되는 금속 규화물막들은 그들의 시트 저항을 감소시키기 위해 침착 후 노내에서 또는 급속한 열 어닐링으로 어닐링될 수 있음을 이해해야 한다.
제 3 도에서, 실리콘층(20)은 전도성 금속층(18) 위에 놓여 형성된다. 실리콘층(20)은 5 내지 100nm 의 두께 범위를 가진 비정질 실리콘층이 바람직하다. 예를 들어, 일 실시예에서 비정질 실리콘층은 약 30nm 의 두께를 갖는다. 대안적으로 실리콘층(20)은 50 내지 100nm 의 두께 범위를 가진 폴리 실리콘층일 수 있다. 실리콘층(20)은 종래의 화학 증착법을 이용하여 형성되는 것이 바람직하다. 실리콘층(20)이 형성된 후에, 캡핑층(22)이 실리콘층(20) 위에 놓여 형성된다. 실리콘층(20)은 캡핑층(22)과 그 아래에 놓이는 전도성 금속층(18) 사이의 접착제층(glue layer)으로 역할하고 그러므로 후속 공정 동안에 전도성 금속층(18)으로부터 캡핑층(22)의 박리나 벗겨지는 것이 최소화됨을 주목하는 것이 중요하다. 캡핑층(22)은 5 내지 300nm 의 두께 범위를 갖는 것이 바람직하며, 또한 일 실시예에서 캡핑층은 실리콘 규화물, 실리콘 산화 질화물, 실리콘이 풍부한 실리콘 질화물 등과 같은, 절연 질화물 층이며, 이 절연 질화물 층은 후속 사진 석판 공정 동안 반-반사층으로 역할한다. 대안적으로, 캠핑층(22)은 티타늄 질화물과 같은 전도성 막이나 실리콘 탄화물과 같은 다른 절연막일 수 있다. 캡핑층(22)은 종래의 스퍼터링, 화학 증착법 또는 플라즈마 침착 기술들을 이용하여 형성될 수 있다. 예를 들어, 실리콘 질화물, 실리콘 산화 질화물 및 실리콘이 풍부한 실리콘 질화물과 같은, 절연 질화물 층들이 종래의 저압 화학 증착 기술들 또는 종래의 플라즈마 강화된 화학 증착 기술들을 이용하여 침착될 수 있다. 부가적으로, 실리콘층(16)이 비정질 실리콘층이면, 이 실리콘층은 후속 공정 동안 폴리 실리콘으로 변경될 수 있음을 주목하는 것이 중요하다. 예를 들어, 캡핑층(22)이 비정질 실리콘을 재결정화 하도록 하는 소정 온도에서 침착될 수 있다.
제 4 도에서, 패턴된 마스킹 층(24)은 캡핑층(22) 위에 놓여 형성된다. 그 다음에, 캡핑층(22)은 패턴된 마스킹 층(24) 아래 놓이는 캡핑층(22)의 나머지 부분(26)을 남겨두기 위해 에칭된다. 부가적으로, 아래에 놓이는 실리콘층(20)은 나머지 부분(30)과 전도성 금속층(18)의 노출된 부분(28)을 형성하기 위해 에칭된다. 패턴된 마스킹 층(24)은 종래의 사진 석판 기술들을 이용하여 패턴되어져 있는 포토레지스트 층이 바람직하다. 캡핑층(20)과 실리콘(20)은 종래의 비등방성 플라즈마 에칭 기술들을 이용하여 양호하게 에칭된다. 예를 들어, 캡핑층(20)이 실리콘 질화물과 같은 절연 질화물 층인 경우, 캡핑층(22) 및 실리콘층(20)은 카본 사플로우라이드(carbon tetrafluoride, CF4)와 프레온-23(CHF3)을 포함하는 플라즈마 에칭 화학을 이용하여 비등방적으로 에칭된다. 그러나, 다른 플라즈마 에칭 화학들은 에칭 캡핑층(22)과 실리콘층(20)에 이용될 수 있음을 또한 이해해야 한다. 부가적으로, 아래에 놓이는 실리콘층(20)은 캡핑층(22)이 패턴될 때 에칭될 필요가 없다는 것을 또한 주목해야 한다. 예를 들어, 실리콘층(20)과 관련하여 선택되는 에칭 공정은 캡핑층(22)을 패턴하는데 이용될 수 있다.
제 5 도에서, 전도성 금속층(18)과 실리콘층(16)은 전도성 상호 접속(36)을 형성하기 위해 에칭되며, 이 전도성 상호 접속(6)은 실리콘층(20)의 나머지 부분(30), 전도성 금속층(18)의 나머지 부분(32), 실리콘층(16)의 나머지 부분(34)을 포함한다. 전도성 층(18)과 실리콘층(16)은 종래의 비등방성 플라자마 에칭 기술들을 이용하여 양호하게 에칭된다. 양호한 실시예에 따라서, 이 전도성 금속층(18)은 텅스텐 규화물이고, 실리콘층(16)은 폴리 실리콘이고, 텅스텐 규물층은 황 헥사플로우라이드(sulfur hexafluoride; SF6) 및 수소 브롬화물(HBr)을 포함하는 플라즈마 에칭 화학을 이용하여 우선 에칭되고 나서 아래에 놓이는 폴리 실리콘층이 염소(Cl2)와 수소 브롬화물(HBr)을 포함하는 플라즈마 에칭 화학을 이용하여 에칭된다. 그러나, 다른 에칭 화학들은 전도성 상호 접속(36)을 형성하기 위해 이용될 수 있음과, 전도성 상호 접속(36)은 단일 에칭 화학이나 다중 에칭 화학들을 이용하여 형성될 수 있음을 또한 이해해야 한다. 부가적으로, 캡핑층(22)이 실리콘층(20)과 관련하여 선택적으로 패턴되면, 전도성 금속층(18) 및 실리콘층(16)이 패턴될 때 실리콘층(20)이 또한 패턴될 수 있음을 또한 이해해야 한다. 전도성 상호 접속(36)이 형성되어진 후에, 패턴된 마스킹 층(24)이 종래의 스트립핑 기술들을 이용하여 제거되며, 그 결과로 되는 최종 전도성 상호 접속 구조가 제 5 도에 되어 있는데, 이 캡핑층(22)의 나머지 부분(26)은 전도성 상호 접속(36) 위에 놓인다. 실리콘층(20)의 나머지 부분(30)은 캡핑층(22)의 나머지 부분(26)과 전도성 금속층(18)의 나머지 부분 사이의 접착제층으로 역할하며, 따라서 후속 공정 동안 나머지 부분(32)으로부터 나머지 부분(26)의 박리나 벗겨지는 것이 최소화됨을 주목하는 것이 중요하다. 부가적으로, 나머지 부분(26)도 하드 마스크로서 이용될 수도 있음과, 패턴된 마스킹 층(24)은 전도성 금속층(18) 및 실리콘층(16)이 패턴되기 전에 제거될 수 있음을 또한 이해해야 한다.
제 6 도 내지 제 8 도는 본 발명의 대안 실시예에 따른 공정 단계들을 설명하는 단면도이며, 이 전도성 상호 접속은 집적 회로에서 형성된다. 제 6 도에 도시한 것은 제 3 도에 도시한 것과 유사한 집적 회로 구조의 일부(15)이며, 캡핑층(22)이 형성되어진 후에 산화물층(40)은 캡핑층(22) 위에 놓여 형성된다. 산화물층(40)은 5 내지 300nm 의 두께 범위를 가지며, 또한 산화물층은 종래의 화학 증착 또는 플라즈마 침착 기술들을 이용하여 형성되는 도핑되지 않은 실리콘 이산화물층이 바람직하다.
제 7 도에서 패턴된 마스킹 층(42)은 산화물 층(40) 위에 놓여 형성된다. 그후 산화물층(40)과 캡핑층(22)은 산화물 층(40)의 나머지 부분(44)과, 패턴된 마스킹 층(42) 아래에 놓여 캡핑층(22)의 나머지 부분(46)을 남겨두기 위해 에칭된다. 부가적으로, 아래에 놓이는 실리콘층(20)은 나머지 부분(50)을 형성하고 전도성 금속층(18)의 노출된 부분(48)을 형성하기 위해 에칭된다. 패턴된 마스킹 층(42)은 종래의 사진 석판 기술을 이용하여 패턴되어지는 포토레지스트 층이 바람직하다. 산화물층(40), 캡핑층(20) 및 실리콘층(20)은 종래의 비등방성 플라즈마 에칭 기술들을 이용하여 양호하게 에칭된다. 예를 들어, 캡핑층(20)이 실리콘 질화물과 같은 절연 질화물층(20)인 경우, 산화물층(40), 캡핑층(20) 및 실리콘층(20)은 탄소 사플로우라이드(CF1) 및 프레온-23(CHF3)을 포함하는 플라즈마 에칭 화학을 이용하여 비등방적으로 에칭될 수 있다. 그러나, 다른 플라즈마 에칭 화학들도 에칭 산화물층(40), 캡핑층(22) 및 실리콘층(20)을 에칭하는데 이용될 수 있음과, 다중 에칭 화학들을 이용하여 패턴될 수 있음을 또한 이해해야 한다. 부가적으로, 실리콘층(20)은 캡핑층(22)이 패턴될 때 에칭될 필요가 없음을 또한 이해해야 한다. 예를 들어, 실리콘층(20)에 관련하여 선택되는 에칭 공정은 캡핑층(22)을 패턴하는데 이용될 수도 있다.
제 8 도에서, 전도성 금속층(18)과 실리콘층(16)은 전도성 상호 접속(56)을 형성하기 위해 에칭되는데, 이 전도성 상호 접속(56)은 실리콘층(20)의 나머지 부분(50)과, 전도성 금속층(18)의 나머지 부분(52) 및 실리콘층(16)의 나머지부분(54)을 포함한다. 전도성 층(18)과 실리콘층(16)은 종래의 비등방성 플라즈마 에칭 기술을 이용하여 양호하게 에칭된다. 양호한 실시예에 따라서, 이 전도성 금속층(18)은 텅스텐 규화물이고, 실리콘층(16)은 폴리 실리콘이며, 텅스텐 규화물층은 황 헥사플로우라이드(SF6)와 수소 브롬화물(HBr)을 포함하는 플라즈마 에칭 화학을 이용하여 먼저 에칭되고 나서, 아래에 놓이는 폴리 실리콘층이 염소(Cl2)와 수소 브롬화물(HBr)을 포함하는 플라즈마 에칭 화학을 이용하여 에칭된다. 그러나, 다른 에칭 화학은 전도성 상호 접속(56)을 형성하기 위해 이용될 수도 있음과, 또한 전도성 상호 접속(56)은 단일 에칭 단계를 이용하거나 다중 에칭 단계로 형성될 수도 있음을 또한 이해해야 한다. 캡핑층(22)이 실리콘층(20)에 대하여 선택적으로 패턴되는 경우, 전도성 금속층(18)과 실리콘층(16)이 패턴될 때 실리콘층(20)이 패턴될 수도 있음을 또한 이해해야 한다. 전도성 상호 접속(56)이 형성된 후에, 패턴된 마스킹 중(42)이 종래의 스트립핑 기술들을 이용하여 제거되며, 그 결과로 되는 전도성 상호 접속 구조는 제 8 도에 도시되어 있는데, 나머지 부분(44 및 46)은 전도성 상호 접속(56) 위에 놓인다. 실리콘층(20)의 나머지 부분(50)은 캡핑층(22)의 나머지 부분(46)과 전도성 금속층(18)의 나머지 부분(52) 사이의 접착제층으로 역할하고, 따라서 후속 공정 동안에 나머지 부분(52)으로부터의 나머지 부분(46)의 박리나 벗겨지는 것이 최소화됨을 주목하는 것이 중요하다. 부가적으로, 나머지 부분(44)은 하드 마스크로서 이용될 수 있음과, 패턴된 마스킹 층(42)은 전도성 금속층(18)과 실리콘층(16)이 패턴되기 전에 제거될 수 있음을 또한 이해해야 한다.
본 명세서에 포함된 서두의 논의나 설명들은 본 발명과 연관된 많은 장점들을 해설하고 있다. 특히, 캡핑층 또는 반-반사층과 아래에 놓이는 전도성 금속층사이의 접착력은 캡핑층 또는 반-반사층과 아래에 놓이는 전도성 금속층 사이의 실리콘 접착제층을 형성함으로써 개선될 수 있다는 것이 알려진다. 특히, 패턴된 반-반사층 또는 패턴된 캡핑층과 아래에 놓이는 전도성 상호 접속 사이에 형성된 실리콘 접착제층은 후속 공정 동안에 패턴된 반-반사층 또는 캡핑층의 벗겨짐을 최소화한다. 또한, 실리콘 접착제층은 상호 접속 포토레지스트 패턴을 한정하는데 이용되는 사진 석판 공정에 역 영향을 미치지 않는다. 또한 종래의 공정 장비는 실리콘 접착제층을 형성하는데 이용될 수 있다. 지금까지와는 또 다른 장점은 본 발명에 의해 서브미크론 상호 접속들을 진보된 집적 회로들에 제조되게 한다는 것이다.
따라서, 본 발명에 따라서, 앞에서 설명한 필요한 것과 장점들을 충분히 만족시키는 집적 회로에 전도성 상호 접속을 형성하는 방법이 제공되어지게 되는 것이 분명하다. 본 발명이 특정한 실시예를 참조하여 논의되고 기술되어졌으나, 본 발명은 이들 예시적인 실시예들에 국한시키려는 것은 아니다. 본 기술에 숙련된 사람은 본 발명의 정신으로부터 일탈함이 없이 변경들 및 수정들이 행해질 수 있음을 알게 될 것이다. 예를 들어, 어띤 응용에 있어서, 실리콘층(16)은 선택적이고, 전도성 금속층(18)은 유전체층(14) 위에 놓여 직접 형성될 수 있다. 부가적으로, 몇몇 응용들에 있어서, 유전체(14)내에 개구가 형성될 수 있으며, 그 결과 전도성 상호 접속은 아래에 놓이는 상호 접속 또는 도핑된 영역에 전기적 접촉을 행할 수 있다. 또한, 본 발명은 여기에 인용된 특정한 에칭 화학들에 국한하지 않는다. 다른에칭 화학들은 전도성 상호 접속(36)을 형성하고, 캡핑층(22)을 패턴닝하기 위해 이용될 수도 있다. 또한, 본 발명은 본 명세서에 주어진 특정층의 두께에 제한하지 않는다. 또한 본 발명은 특별히 기술된 이들 재료들에 어떤 방법에서도 제한되지 않는다. 양호한 재료들이 인용되었지만, 다수의 재료들은 본 발명의 요소의 각각에 적합하다. 또한 어떤 응용에 있어서, 캡핑층(22)은 반-반사층으로 역할하지 않을 수 있다. 따라서, 본 발명은 첨부된 청구의 범위들의 범주내에 넣어 둠으로써 그와 같은 모든 변경들 및 수정들을 포함하려는 것이다.
제 1 도 내지 제 5 도는 본 발명의 일 실시예에 따른 공정 단계들의 단면도.
제 6 도 내지 제 8 도는 동일 참조 번호들이 몇몇 형태를 통해 동일하거나 대응하는 부분을 나타내는, 본 발명의 대안 실시예에 따른 공정 단계들의 단면도.
* 도면의 주요부분에 대한 부호의 설명 *
18:전도성 금속층 20:실리콘층
22:캡핑층 40:산화물층
Claims (6)
- 집적 회로의 전도성 상호 접속 구조에 있어서,제 1 패턴된 폴리 실리콘층,상기 제 1 패턴된 폴리 실리콘층 위에 놓고 접해있는 패턴된 전도성 금속-함유층,상기 제 1 패턴된 폴리 실리콘층 위에 놓여있는 제 2 패턴된 폴리 실리콘층으로서, 약 100nm보다 크지 않은 두께를 갖는 상기 제 2 패턴된 폴리 실리콘층 및상기 제 1 패턴된 폴리 실리콘층 위에 놓여있는 실리콘 산화 질화물의 패턴된 반-반사 절연층으로서, 상기 제 2 패턴된 폴리 실리콘층과 접해있는, 상기 반-반사 절연층을 포함하며,상기 패턴된 전도성 금속-함유층, 상기 제 2 패턴된 폴리 실리콘층, 및 상기 패턴된 반-반사 절연층의 각각이 상기 제 1 패턴된 폴리 실리콘층의 두 측면들과 실질적으로 경계선을 같이 하는(coterminous) 두 측면들을 갖는 전도성 상호 접속 구조.
- 집적 회로의 전도성 상호 접속 구조에 있어서,제 1 유전체층,상기 제 1 유전체층 위에 놓여있는 패턴된 전도성 금속-함유층,상기 패턴된 전도성 금속-함유층 위에 놓여있고 접해있는 패턴된 실리콘층으로서, 약 30nm보다 크지 않은 두께를 갖는, 상기 패턴된 실리콘층,상기 패턴된 전도성 금속-함유층 위에 놓여있는 실리콘 및 질소를 포함하는 패턴된 층으로서, 상기 패턴된 실리콘층과 접해있는 실리콘 및 질소를 포함하는, 상기 패턴된 층, 및상기 패턴된 전도성 금속-함유층 위에 놓여있는 제 2 유전체층으로서, 실리콘 및 질소를 포함하는 상기 패턴된 층과 접해있는, 상기 제 2 유전체층을 포함하며,상기 패턴된 실리콘층과 실리콘 및 질소를 포함하는 상기 패턴된 층의 각각이 상기 패턴된 전도성 금속-함유층의 두 측면들과 실질적으로 경계선을 같이 하는 두 측면들을 갖는, 전도성 상호 접속 구조.
- 집적 회로의 전도성 상호 접속 구조에 있어서,게이트 유전체층,상기 게이트 유전체층 위에 놓여있는 제 1 패턴된 폴리 실리콘층,상기 제 1 패턴된 폴리 실리콘층 위에 놓여있고 접해있는 패턴된 금속 규화물층,상기 제 1 패턴된 폴리 실리콘층 위에 놓여있는 제 2 패턴된 폴리 실리콘층으로서, 상기 패턴된 금속 규화물층과 접해있고 약 30nm보다 크지 않은 두께를 갖는, 상기 제 2 패턴된 폴리 실리콘층, 및상기 제 1 패턴된 폴리 실리콘층 위에 놓여있는 실리콘 및 질소를 포함하는패턴된 반-반사층으로서, 상기 제 2 패턴된 폴리 실리콘층과 접해있는 상기 반-반사층을 포함하고,상기 패턴된 금속 규화물층, 상기 제 1 패턴된 실리콘층 및 상기 패턴된 반-반사층의 각각이 상기 제 1 패턴된 폴리 실리콘층의 두 측면들과 실질적으로 경계선을 같이 하는(coterminous) 두 측면들을 갖는, 전도성 상호 접속 구조.
- 집적 회로의 전도성 상호 접속 구조에 있어서,제 1 패턴된 폴리 실리콘층,상기 제 1 패턴된 폴리 실리콘층 위에 놓여있고 접해있는 패턴된 전도성 금속-함유층,상기 제 1 패턴된 폴리 실리콘층 위에 놓여있는 제 2 패턴된 폴리 실리콘층으로서, 약 100nm보다 크지 않은 두께를 갖는, 상기 제 2 패턴된 폴리 실리콘층, 및상기 제 2 패턴된 폴리 실리콘층 위에 놓여있는 실리콘 산화 질화물의 패턴된 반-반사층으로서, 상기 제 2 패턴된 폴리 실리콘층과 접해있는, 상기 패턴된 반-반사층을 포함하고,상기 패턴된 전도성 금속-함유층, 상기 제 2 패턴된 폴리 실리콘층, 및 상기 패턴된 반-반사층의 각각이 상기 제 1 패턴된 폴리 실리콘층의 두 측면들과 실질적으로 경계선을 같이 하는(coterminous) 두 측면들을 갖는, 전도성 상호 접속 구조.
- 집적 회로의 전도성 상호 접속을 형성하기 위한 방법에 있어서,반도체 기판을 제공하는 단계,상기 반도체 기판 위에 놓여있는 유전체층을 형성하는 단계,상기 유전체층 위에 놓여있는 전도성 금속-함유층을 형성하는 단계,상기 전도성 금속-함유층 위에 놓여있는 실리콘 접착층을 형성하는 단계.상기 실리콘 접착층 위에 놓여있는 무기성 반-반사층을 형성하는 단계,상기 무기성 반-반사층의 잔존 부분을 형성하기 위해 상기 무기성 반-반사층을 에칭하는 단계,상기 전도성 상호 접속을 형성하기 위해 상기 전도성 금속-함유층을 에칭하는 단계를 포함하고,상기 무기성 반-반사층의 잔존 부분이 상기 전도성 상호 접속 위에 놓이는, 전도성 상호 접속 형성 방법.
- 집적 회로에 전도성 상호 접속을 형성하기 위한 방법에 있어서,반도체 기판을 제공하는 단계,상기 반도체 기판 위에 놓여있는 유전체층을 형성하는 단계,상기 유전체층 위에 놓여있는 금속-함유층을 형성하는 단계,상기 금속-함유층 위에 놓여있는 실리콘 접착층을 형성하는 단계,상기 실리콘 접착층 위에 놓여있는 실리콘 및 질소를 포함하는 층을 형성하는 단계,실리콘 및 질소를 포함하는 상기 층의 잔존 부분을 형성하기 위해 실리콘 및 질소를 포함하는 상기 층을 에칭하는 단계,상기 전도성 상호 접속을 형성하기 위해 상기 금속-함유층을 에칭하는 단계를 포함하고,실리콘 및 질소를 포함하는 상기 층의 상기 잔존 부분이 상기 전도성 상호 접속 위에 놓이는, 전도성 상호 접속 형성 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/236,076 US5441914A (en) | 1994-05-02 | 1994-05-02 | Method of forming conductive interconnect structure |
US236076 | 1994-05-02 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR950034678A KR950034678A (ko) | 1995-12-28 |
KR100376628B1 true KR100376628B1 (ko) | 2003-06-25 |
Family
ID=22888042
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019950010894A KR100376628B1 (ko) | 1994-05-02 | 1995-04-29 | 집적회로내의전도성상호접속구조및전도성상호접속형성방법 |
Country Status (3)
Country | Link |
---|---|
US (2) | US5441914A (ko) |
KR (1) | KR100376628B1 (ko) |
TW (1) | TW303494B (ko) |
Families Citing this family (73)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH06302539A (ja) * | 1993-04-15 | 1994-10-28 | Toshiba Corp | 半導体装置及び半導体装置の製造方法 |
JP3284687B2 (ja) * | 1993-08-31 | 2002-05-20 | ソニー株式会社 | 配線パターンの製造方法 |
US5891784A (en) * | 1993-11-05 | 1999-04-06 | Lucent Technologies, Inc. | Transistor fabrication method |
US5854132A (en) * | 1994-11-29 | 1998-12-29 | Advanced Micro Devices, Inc. | Method for exposing photoresist |
US5604157A (en) * | 1995-05-25 | 1997-02-18 | Industrial Technology Research Institute | Reduced notching of polycide gates using silicon anti reflection layer |
JP2638573B2 (ja) * | 1995-06-26 | 1997-08-06 | 日本電気株式会社 | 半導体装置の製造方法 |
JPH0982687A (ja) * | 1995-09-19 | 1997-03-28 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US5767018A (en) * | 1995-11-08 | 1998-06-16 | Advanced Micro Devices, Inc. | Method of etching a polysilicon pattern |
US6114716A (en) * | 1996-03-22 | 2000-09-05 | The Whitaker Corporation | Heterolithic microwave integrated circuits |
US5804499A (en) * | 1996-05-03 | 1998-09-08 | Siemens Aktiengesellschaft | Prevention of abnormal WSix oxidation by in-situ amorphous silicon deposition |
US5899724A (en) * | 1996-05-09 | 1999-05-04 | International Business Machines Corporation | Method for fabricating a titanium resistor |
US5753418A (en) * | 1996-09-03 | 1998-05-19 | Taiwan Semiconductor Manufacturing Company Ltd | 0.3 Micron aperture width patterning process |
TW316326B (en) * | 1996-09-21 | 1997-09-21 | United Microelectronics Corp | Manufacturing method of word line |
US5948598A (en) * | 1996-10-31 | 1999-09-07 | Taiwan Semiconductor Manufacturing Company Ltd. | Anti-reflective silicon nitride film using in-situ deposition |
JP3409984B2 (ja) * | 1996-11-14 | 2003-05-26 | 東京エレクトロン株式会社 | 半導体装置及び半導体装置の製造方法 |
US5872057A (en) * | 1996-11-22 | 1999-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming oxide dielectric layer on refractory metal silicide gate |
US5908659A (en) * | 1997-01-03 | 1999-06-01 | Mosel Vitelic Inc. | Method for reducing the reflectivity of a silicide layer |
US6153452A (en) * | 1997-01-07 | 2000-11-28 | Lucent Technologies Inc. | Method of manufacturing semiconductor devices having improved polycide integrity through introduction of a silicon layer within the polycide structure |
US5958508A (en) * | 1997-03-31 | 1999-09-28 | Motorlola, Inc. | Process for forming a semiconductor device |
TW322608B (en) * | 1997-07-31 | 1997-12-11 | United Microelectronics Corp | Manufacturing method of self-aligned salicide |
JPH1168095A (ja) * | 1997-08-11 | 1999-03-09 | Fujitsu Ltd | 半導体装置の製造方法 |
US6121133A (en) | 1997-08-22 | 2000-09-19 | Micron Technology, Inc. | Isolation using an antireflective coating |
US5851927A (en) * | 1997-08-29 | 1998-12-22 | Motorola, Inc. | Method of forming a semiconductor device by DUV resist patterning |
US6103632A (en) * | 1997-10-22 | 2000-08-15 | Applied Material Inc. | In situ Etching of inorganic dielectric anti-reflective coating from a substrate |
US6541164B1 (en) | 1997-10-22 | 2003-04-01 | Applied Materials, Inc. | Method for etching an anti-reflective coating |
US6291356B1 (en) | 1997-12-08 | 2001-09-18 | Applied Materials, Inc. | Method for etching silicon oxynitride and dielectric antireflection coatings |
US6013582A (en) * | 1997-12-08 | 2000-01-11 | Applied Materials, Inc. | Method for etching silicon oxynitride and inorganic antireflection coatings |
US7804115B2 (en) * | 1998-02-25 | 2010-09-28 | Micron Technology, Inc. | Semiconductor constructions having antireflective portions |
US6274292B1 (en) * | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US6995097B1 (en) * | 1998-05-27 | 2006-02-07 | Texas Instruments Incorporated | Method for thermal nitridation and oxidation of semiconductor surface |
KR100294637B1 (ko) * | 1998-06-29 | 2001-10-19 | 박종섭 | 모스펫의폴리사이드게이트형성방법 |
KR100269330B1 (ko) * | 1998-06-29 | 2000-12-01 | 윤종용 | 반사 방지 캡 및 스페이서를 구비하는 반도체장치, 이의 제조방법 및 이를 이용한 포토레지스트 패턴의 제조방법 |
DE19828969A1 (de) * | 1998-06-29 | 1999-12-30 | Siemens Ag | Verfahren zur Herstellung von Halbleiterbauelementen |
US6268282B1 (en) * | 1998-09-03 | 2001-07-31 | Micron Technology, Inc. | Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks |
US6281100B1 (en) | 1998-09-03 | 2001-08-28 | Micron Technology, Inc. | Semiconductor processing methods |
US6294459B1 (en) | 1998-09-03 | 2001-09-25 | Micron Technology, Inc. | Anti-reflective coatings and methods for forming and using same |
US6806154B1 (en) * | 1998-10-08 | 2004-10-19 | Integrated Device Technology, Inc. | Method for forming a salicided MOSFET structure with tunable oxynitride spacer |
US6326231B1 (en) * | 1998-12-08 | 2001-12-04 | Advanced Micro Devices, Inc. | Use of silicon oxynitride ARC for metal layers |
US6828683B2 (en) | 1998-12-23 | 2004-12-07 | Micron Technology, Inc. | Semiconductor devices, and semiconductor processing methods |
US6191016B1 (en) * | 1999-01-05 | 2001-02-20 | Intel Corporation | Method of patterning a layer for a gate electrode of a MOS transistor |
US7235499B1 (en) | 1999-01-20 | 2007-06-26 | Micron Technology, Inc. | Semiconductor processing methods |
JP3257533B2 (ja) | 1999-01-25 | 2002-02-18 | 日本電気株式会社 | 無機反射防止膜を使った配線形成方法 |
JP3059150B1 (ja) * | 1999-02-02 | 2000-07-04 | 沖電気工業株式会社 | ゲ―ト電極構造及びその製造方法 |
US6274445B1 (en) * | 1999-02-03 | 2001-08-14 | Philips Semi-Conductor, Inc. | Method of manufacturing shallow source/drain junctions in a salicide process |
KR100881472B1 (ko) | 1999-02-04 | 2009-02-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법 |
US6291363B1 (en) * | 1999-03-01 | 2001-09-18 | Micron Technology, Inc. | Surface treatment of DARC films to reduce defects in subsequent cap layers |
US6444588B1 (en) | 1999-04-26 | 2002-09-03 | Micron Technology, Inc. | Anti-reflective coatings and methods regarding same |
US6110812A (en) * | 1999-05-11 | 2000-08-29 | Promos Technologies, Inc. | Method for forming polycide gate |
US6342452B1 (en) * | 1999-05-20 | 2002-01-29 | International Business Machines Corporation | Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask |
US6451642B1 (en) * | 1999-07-14 | 2002-09-17 | Texas Instruments Incorporated | Method to implant NMOS polycrystalline silicon in embedded FLASH memory applications |
US6630718B1 (en) | 1999-07-26 | 2003-10-07 | Micron Technology, Inc. | Transistor gate and local interconnect |
US6107167A (en) * | 1999-08-02 | 2000-08-22 | Advanced Micro Devices, Inc. | Simplified method of patterning polysilicon gate in a semiconductor device |
US6124178A (en) * | 1999-08-26 | 2000-09-26 | Mosel Vitelic, Inc. | Method of manufacturing MOSFET devices |
US7067414B1 (en) | 1999-09-01 | 2006-06-27 | Micron Technology, Inc. | Low k interlevel dielectric layer fabrication methods |
US6395644B1 (en) * | 2000-01-18 | 2002-05-28 | Advanced Micro Devices, Inc. | Process for fabricating a semiconductor device using a silicon-rich silicon nitride ARC |
US6440860B1 (en) | 2000-01-18 | 2002-08-27 | Micron Technology, Inc. | Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride |
US6261967B1 (en) * | 2000-02-09 | 2001-07-17 | Infineon Technologies North America Corp. | Easy to remove hard mask layer for semiconductor device fabrication |
DE10021098C1 (de) * | 2000-04-20 | 2001-09-20 | Infineon Technologies Ag | Verfahren zum Herstellen einer Verdrahtungsebene auf einem Halbleiterchip mit einer Antifuse |
US6475922B1 (en) * | 2000-04-25 | 2002-11-05 | Koninklijke Philips Electronics N.V. | Hard mask process to control etch profiles in a gate stack |
US6797604B2 (en) * | 2000-05-08 | 2004-09-28 | International Business Machines Corporation | Method for manufacturing device substrate with metal back-gate and structure formed thereby |
DE10030442B4 (de) * | 2000-06-22 | 2006-01-12 | Infineon Technologies Ag | Verbindungselement in einem integrierten Schaltkreis |
US6387785B1 (en) * | 2000-07-22 | 2002-05-14 | United Microelectronics Corp. | Lithography and etching process |
JP2002050742A (ja) * | 2000-07-31 | 2002-02-15 | Nec Corp | 半導体装置およびその製造方法 |
US6465889B1 (en) * | 2001-02-07 | 2002-10-15 | Advanced Micro Devices, Inc. | Silicon carbide barc in dual damascene processing |
US6777171B2 (en) * | 2001-04-20 | 2004-08-17 | Applied Materials, Inc. | Fluorine-containing layers for damascene structures |
US6664191B1 (en) * | 2001-10-09 | 2003-12-16 | Advanced Micro Devices, Inc. | Non self-aligned shallow trench isolation process with disposable space to define sub-lithographic poly space |
US7473377B2 (en) * | 2002-06-27 | 2009-01-06 | Tokyo Electron Limited | Plasma processing method |
US7022625B2 (en) * | 2002-07-25 | 2006-04-04 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating a gate dielectric layer with reduced gate tunnelling current and reduced boron penetration |
US20040018739A1 (en) * | 2002-07-26 | 2004-01-29 | Applied Materials, Inc. | Methods for etching using building blocks |
KR100459725B1 (ko) * | 2002-09-19 | 2004-12-03 | 삼성전자주식회사 | 금속 게이트 패턴을 갖는 반도체소자의 제조방법 |
US7163880B2 (en) * | 2004-06-02 | 2007-01-16 | Texas Instruments Incorporated | Gate stack and gate stack etch sequence for metal gate integration |
US8268712B2 (en) * | 2010-05-27 | 2012-09-18 | United Microelectronics Corporation | Method of forming metal gate structure and method of forming metal gate transistor |
US8888879B1 (en) | 2010-10-20 | 2014-11-18 | Us Synthetic Corporation | Detection of one or more interstitial constituents in a polycrystalline diamond element by neutron radiographic imaging |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0555130A (ja) * | 1991-08-25 | 1993-03-05 | Sony Corp | 半導体装置の製造方法 |
Family Cites Families (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4065730A (en) * | 1976-06-10 | 1977-12-27 | Sperry Rand Corporation | Laser optical coupler |
US4128670A (en) * | 1977-11-11 | 1978-12-05 | International Business Machines Corporation | Fabrication method for integrated circuits with polysilicon lines having low sheet resistance |
NL8004139A (nl) * | 1980-07-18 | 1982-02-16 | Philips Nv | Halfgeleiderinrichting. |
US4398335A (en) * | 1980-12-09 | 1983-08-16 | Fairchild Camera & Instrument Corporation | Multilayer metal silicide interconnections for integrated circuits |
US4488166A (en) * | 1980-12-09 | 1984-12-11 | Fairchild Camera & Instrument Corp. | Multilayer metal silicide interconnections for integrated circuits |
US4569122A (en) * | 1983-03-09 | 1986-02-11 | Advanced Micro Devices, Inc. | Method of forming a low resistance quasi-buried contact |
US4617252A (en) * | 1983-07-01 | 1986-10-14 | Philip A. Hunt Chemical Corporation | Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes |
US4495222A (en) * | 1983-11-07 | 1985-01-22 | Motorola, Inc. | Metallization means and method for high temperature applications |
JPS61139067A (ja) * | 1984-12-11 | 1986-06-26 | Seiko Epson Corp | 半導体装置 |
JPS6286865A (ja) * | 1985-10-14 | 1987-04-21 | Mitsubishi Electric Corp | Mos型トランジスタ |
US4935380A (en) * | 1987-08-04 | 1990-06-19 | Mitsubishi Denki Kabushiki Kaisha | Method for manufacturing semiconductor device |
JPH01241125A (ja) * | 1988-03-23 | 1989-09-26 | Sony Corp | 半導体装置の製造方法 |
JPH02155273A (ja) * | 1988-12-07 | 1990-06-14 | Nec Corp | Mos電界効果トランジスタ |
GB9009059D0 (en) * | 1990-04-23 | 1990-06-20 | De Beers Ind Diamond | Diamond window |
DE4112148A1 (de) * | 1991-04-13 | 1992-10-15 | Philips Patentverwaltung | Lithotripsie-arbeitsplatz |
DE4130930A1 (de) * | 1991-09-13 | 1993-03-25 | Flachglas Ag | Vorsatzaggregat fuer bildschirme oder dergleichen |
US5341014A (en) * | 1992-01-07 | 1994-08-23 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and a method of fabricating the same |
US5428244A (en) * | 1992-06-29 | 1995-06-27 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device having a silicon rich dielectric layer |
US5264076A (en) * | 1992-12-17 | 1993-11-23 | At&T Bell Laboratories | Integrated circuit process using a "hard mask" |
US5346586A (en) * | 1992-12-23 | 1994-09-13 | Micron Semiconductor, Inc. | Method for selectively etching polysilicon to gate oxide using an insitu ozone photoresist strip |
US5378659A (en) * | 1993-07-06 | 1995-01-03 | Motorola Inc. | Method and structure for forming an integrated circuit pattern on a semiconductor substrate |
JP3043325B2 (ja) * | 1997-12-18 | 2000-05-22 | 株式会社神戸製鋼所 | 還元鉄ペレットの製造方法およびこの方法で製造した還元鉄ペレット |
-
1994
- 1994-05-02 US US08/236,076 patent/US5441914A/en not_active Expired - Lifetime
-
1995
- 1995-03-08 TW TW084102209A patent/TW303494B/zh not_active IP Right Cessation
- 1995-04-29 KR KR1019950010894A patent/KR100376628B1/ko not_active IP Right Cessation
-
1996
- 1996-06-18 US US08/666,722 patent/US5872385A/en not_active Expired - Lifetime
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0555130A (ja) * | 1991-08-25 | 1993-03-05 | Sony Corp | 半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US5441914A (en) | 1995-08-15 |
TW303494B (ko) | 1997-04-21 |
US5872385A (en) | 1999-02-16 |
KR950034678A (ko) | 1995-12-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100376628B1 (ko) | 집적회로내의전도성상호접속구조및전도성상호접속형성방법 | |
US4948459A (en) | Method of enabling electrical connection to a substructure forming part of an electronic device | |
US7390750B1 (en) | Method of patterning elements within a semiconductor topography | |
US7544623B2 (en) | Method for fabricating a contact hole | |
US6773998B1 (en) | Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning | |
US6019906A (en) | Hard masking method for forming patterned oxygen containing plasma etchable layer | |
JP4417439B2 (ja) | エッチング・ストップ層を利用する半導体装置構造とその方法 | |
US6875664B1 (en) | Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material | |
US6211557B1 (en) | Contact structure using taper contact etching and polycide step | |
KR100875653B1 (ko) | 반도체 소자의 미세 패턴 형성 방법 | |
KR100505407B1 (ko) | 반도체 소자의 하드 마스크막 식각방법 | |
KR100367695B1 (ko) | 반도체소자의비아콘택형성방법 | |
US6537866B1 (en) | Method of forming narrow insulating spacers for use in reducing minimum component size | |
KR0152919B1 (ko) | 반도체 소자 제조방법 | |
KR100447989B1 (ko) | 반도체소자의게이트전극형성방법 | |
KR100265842B1 (ko) | 반도체 소자 제조 방법 | |
KR100353528B1 (ko) | 반도체 소자의 게이트 전극 형성 방법 | |
KR100365745B1 (ko) | 반도체장치의콘택홀형성방법 | |
US7071101B1 (en) | Sacrificial TiN arc layer for increased pad etch throughput | |
JPH06295888A (ja) | 半導体装置の製造方法 | |
KR19990039102A (ko) | 반도체 장치의 폴리사이드 형성방법 | |
JP2001015597A (ja) | 半導体装置の製造方法 | |
KR20050074761A (ko) | 반도체 소자의 미세 콘택홀 형성방법 | |
JPH0997770A (ja) | 半導体装置及びコンタクトホールの形成方法 | |
KR20030002333A (ko) | 반도체소자의 콘택패드 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130225 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20140224 Year of fee payment: 12 |
|
EXPY | Expiration of term |