TW303494B - - Google Patents

Download PDF

Info

Publication number
TW303494B
TW303494B TW084102209A TW84102209A TW303494B TW 303494 B TW303494 B TW 303494B TW 084102209 A TW084102209 A TW 084102209A TW 84102209 A TW84102209 A TW 84102209A TW 303494 B TW303494 B TW 303494B
Authority
TW
Taiwan
Prior art keywords
layer
forming
conductive
silicon
molded
Prior art date
Application number
TW084102209A
Other languages
English (en)
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Application granted granted Critical
Publication of TW303494B publication Critical patent/TW303494B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53271Conductive materials containing semiconductor material, e.g. polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/012Bonding, e.g. electrostatic for strain gauges

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

A7 Β7 五、發明説明(l ) 經濟部中央標準局員工消費合作社印製 主要申請案之參照 本申請案已在美國於1994年5月2曰编樓爲專利申請 案,序列號碼爲08/236,076。 相關申請案之交互參照 本申請案係輿下述之共同已讓渡之由R〇man et al提 出的:美國專利5,378,059號’標題爲《在半導體基板上 形成一積體電路型式的方法與其結構,,之專利案有關。 發明領域 本發明主要係關於積體電路,而更主要地係關於在一個 積髗電路中形成一導體性互連結構的方法。 發明背景 由於半導髄工業正持續不斷地趨向於具有漸小之幾何結 構以及普遍使用具高反射性的互連物質例如多碎合物, 銘’再製金屬及金屬碎化物等物質的積醴電路,這導致曰 漸增加光蝕刻定型問題。當進行光阻定型的過程時,由於 來自其下之互連物質而產生的不必要反射使得該互連光阻 模式及其所產生之互連結構遭到扭曲變形當使用具紫外 線(UV)及深度紫外線(DUV)曝照波長來產生該光阻模型 時,這個問題就變得更加複雜。 —種已被提出之值量減少來自其下之反射性互連物質之 反射量的技術係在將光阻加以定型前先在其上復以一層抗 反射之塗層。然而如此一來常使得該抗反射塗層與其下之 互連物質間的接合不佳,同時該抗反射層極易自該其下之 互連物質剝離,結果導致積體電路之良率的惡化。於是, •4- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閱讀背面之注意事項再填寫本頁) Τ 装· A7
要形成具有跨於其上之抗反射塗層的互連裝置是極難達成 的’而且以次微米之互連幾何結構來製作高鈒積體電路亦 受此限制。 因此’便需要一種可容許在一積體電路中形成具有抗反 射塗層之互連結構的方法。 發明摘要 前述之以現存的方法來在積穠電路中形成一導艚性互連 装置的問題已由本發明加以先服。在一個本發明的具嫌實 施例中,係具有該半導體基板.並在該基板上形成一介電 質層。然後在該介電層上形成一導體性金屬層。然後再在 該導鱧性金屬層之上形成一矽層。再在該矽層之上形成— 覆蓋層。再將之蝕刻只留下部分之復蓋層。然後再將該導 體性金屬層加以蝕刻來形成該導體性互連装置,其中該殘 餘义覆董層部分則係跨在該導體性互連結構之上。另—方 面本發明係牵涉到根據這個方法所形成之導體性互連結 構,以及那些根據這裡所揭示的其它方法所形成的導體性 互連結構。 經濟部中央標準局員工消費合作社印製 ----------- (請先閲讀背面之注意事項再填寫本I) 訂 這些種種的特點及優點將可自下列之詳細説明及其所附 之圖式中加以更清晰的了解。有一個必須加以指出的重點 就是該圖式並未依比例燴製,同時可能有其它之本發明的 具魏實施例未被加以特別地圖示a 圖式簡述 圖1至圈5所示爲根據本發明之一具髏實施例的製程步棟 的橫截面示圖。 本紙張尺度適用中國國家標準(CNS ) A4規格(210XW7公釐) 3〇^494 A7 ______ B7 五、發明説明(3 ) 經濟部中央標準局員工消費合作社印製 圈6至圈8所示則爲根據本發明的另一具體實施例λ製程步 聲的橫截面示国,在其中之數個視圈中,相同或相對應之 部分係指定予相同之參考编號。 較佳具體實施例之詳述 明1至閾5所示爲根據本發明的一種具髖實施例的各個製 程步驟的橫截面示圈,其中該導體性速装置係形成於一積 體電路之中》圖1中所示爲一包含一半體基板12,一介電 質層14及一矽層16的積體電路結構的一部份》半導艏基 板12最好是一單結晶矽質基板。或者丰導體基板12也可 以是一種長在絕緣體上的矽質基板,或是長在紅寶石上的 碎質基板或類似的物質。在某一具體實施例該介電質層14 係一閘介電質眉且最好其厚度介於4至20毫微米之間。或 者在另一具體實施例中該介電質層14係一失層介電質層且 最好其厚度介於50至5000毫擻米間。如果介電質14係一 閘介電質層,則最好是將該丰導體基板加以熱氧化來形成 介電質層14。需了解到其它的介電物質諸如氮氡化矽或化 學氣相沈積的二氧化矽亦可用來形成該閘介電質層。如果 介電層1 4係一夾層介電質層則該介電質層1 4最好是經由 傳統之化學氣相沈積或電浆沈積或迴旋沈積或其相似之技 術所沈積出來的被渗染或未被滲染的二氧化碎層。然而需 注意到其它的介電物質例如氮化矽或聚醵亞胺亦可被使用 來形成該夾層介電質層。在形成了該介電質層14之後,再 在介電層14之上形成-矽質層在一較佳具髏實施例 中該矽質層10係一被滲染之多矽晶層而且最好其厚度係介 -6 - 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公董) (請先閲讀背面之注意事項再填寫本頁) H装. 訂- A7 ____B7五、發明说明(4 ) 經濟部中央標準局員工消費合作社印裝 於50至400毫微米之間》例如’在一具體實施例中該多晶 碎層之厚度約爲100毫微米。或者碎質層16亦可是一未被 渗染的多晶矽層或是被滲染或未被滲染的非晶馐矽質層。 而碎質層16最好是經由傳統之化學氣相沈積法來形成,且 最好是使用傳統之注入或擴散技術來加以參柒β 圈2中所示爲在矽質層16之上形成—導雅性金屬層ι8。 在一較佳具體實施例中該導艚性金屬層係一矽化鎢層且 其厚度介於50至300毫微米之問。例如,在—具髖實施例 中該矽化鎢層之厚度爲約100毫微米。或者該導體性金屬 層可以是其它種之金屬碎化物,例如碎化姑,硬化献,碎 化鉬,矽化鉑,矽化鎳,矽化鈀或者它可能是—鎢質層或 鉬質層β可使用傳統性的濺嫂或化學氣相沈積技術以及結 合傳統的退火技術來形成該導體性金屬廣18。例如,一種 金層諸如鼓、钴、鉑等等皆可被滅鍍沈積至碎質層16之上 接下去再在爐中加以退火或以一快速退火系統來加以退火 來形成一金屬矽化物層。此外,需注意到該由濺嫂或是化 學氣相沈積而來的金屬沙化物薄層,可在沈積形成之後在 域中加以退火或在快速熱退火系統中加以退火來降低其薄 層電阻。 圖3中’形成一矽質層20跨於導髖金屬層18之上。而碎 質廣20最好是一非結晶碎廣且其厚度最好介於$至毫 微米之間β例如,在一具體實施例中該非結晶矽層之厚度 約爲30毫微米。或者,矽質層20亦可是多結晶矽而其厚 度介於5至1〇〇毫微米之間。矽質層2〇最妤是經由傳統之
(請先閱讀背面之注意事項再填寫本頁) Τ 装. *1Τ 五、發明説明( A7 B7 經濟部中央標準局貝工消費合作社印製 化學氣相沈積技術來形成。在已形成該矽質層2〇之後,在 其上形成一覆蓋層22。請注意到該矽質層2〇係作爲覆蓋 層22及在矽質層20义下的導體性金屬層18之間的黏合膠 質層,因此可將覆蓋層22自導體金屬層18的剝蚀或剝離 減到最低。而覆蓋層2 2的厚度最好是介於5至3 〇 〇毫微米 之間,在一具體實施例中其爲一絕緣之氮化物層,例如氮 化矽,氮氧化矽,多矽氮化矽或其相似物質,同時該絕緣 <氮化物層亦作爲接下去之光蝕刻的製程步驟中的抗反射 層之用。或者,覆蓋層22亦可以是—導髄性薄屑例如氮化 献或其它之絕緣薄層例如碳化硬》復蓋層22可以由傳統的 戏破或化學氣相沈積或電浆沈積技術來形成^例如,絕緣 之氮化物層,諸如氮化矽,氮氧化矽及多矽氮化矽可使用 傳統之低壓化學氣相沈積法或是電漿強化化學氣相沈積法 來加以沈積形成。此外,須注意到若矽質層丨6係一并結晶 碎層’則必須在接下去的製程中加以轉化爲多結晶矽。例 如’可在一足以令非結晶碎產生再次結晶之溫度下來沈積 該覆蓋層22 « 明4中’在該覆層22之上形成一模化遑單層24。再將 復蓋層22加以蚀刻只留下一部分26之位於模化遮軍層24 之下的覆蓋層22。此外,同時也將其下的矽質層2ϋ加以 兹刻來形成一剩餘部分30及一該導體金屬層is的已曝曬 部分28。模化遮軍層24最好是一光阻層,且係由傳統之 光钱刻技術來加以定模。而覆蓋層22及矽質層2〇最好是 用傳統之非等方性電漿蝕刻技術來加以蝕刻a例如,若覆 (請先閱讀背面之注意事項再填寫本頁)
T 裝_ 訂 8 - 五、發明説明(6 ) A7 B7 經濟部中央標準局員工消費合作社印製 蓋層2 2係絕緣氮化物層諸如氮化碎,則該覆蓋廣2 2及碎 質層2 0可以用包括四氟化碳及三氟甲烷之電漿化學灶刻法 加以非等方式蝕刻。需了解到其它的電槳化學蝕刻法亦可 被用來蝕刻復蓋層2 2及矽質層2 〇。此外,需注意到當在 將覆蓋層22加以模化時並不需要對於其下之矽質層2〇也 加以蝕刻《例如,可使用對於矽質層2〇具有選擇性的蝕刻 製程來將覆蓋層22加以模化。 圖5中,再將導體性金屬層丨8及矽質層i 6加以蝕刻來形 成一導體性互連3 6,其中該導體性互連3 6係包含矽質層 20的殘餘部分30及導體性金屬層18的剩餘部分32及碎質 層16的殘餘部分34。而導體層18及矽質層16最好是用傳 统之非等方性電漿蝕刻技術來君以蝕刻。根據本發明之一 較佳具體實施例中,其導雅金屬層丨8係矽化鎢而矽質層 1 6則爲多結晶矽,而該矽化鎢層係用包含六氟化硫及溴化 氳之電漿化學蝕刻來加以初步蝕刻再用包含氣(C12)及溪 化lUHBr)之電漿化學蝕刻法來將其下之多晶矽層予以蝕 刻。需了解到其它之化學蝕刻法亦可被用來形成該導性互 連結構36 ’且該導鱧性互連36亦可由單一之化學蝕刻或 多重之化學蝕刻來形成。此外,需了解到若該復蓋層2 2係 依據矽質層20來加以模化的,則該矽質層2〇亦可在將導 «I金屬層18及矽質層16模化時,同時加以模化β在該導 體性互連36已形成之後,再將該模化之遮軍層24以傳統 之剝離技術予以去除,而最後之導體性互連結構就如圈5 -9 -
(請先閲讀背面之注意事項再填寫本頁) Τ 装. 訂 303494 A7 _____B7五、發明説明(7 ) 經濟部中央標準局員工消費合作社印製 所示’其中該復蓋層22的殘餘部分26係跨在導體性互連 結構3 6之上》需注意到該矽質層2 〇的殘餘部分3 0係作爲 覆蓋層22之殘餘部分26及導體性金屬層18的殘餘部分的 黏合性膠質層’也因此將該殘餘部分26自殘餘部分32在 接下去的製程中的剝姓或剝離減至最低β此外,需了解到 該殘餘部分26亦可被用作硬質遮軍’如此一來在該導體性 金屬層18及矽質層16被模化前便可先將模化遮單層24除 去。 圖6至圖8所示爲根據本發明之另一具鱧實施例的製程步 驟的橫截面視圖,其中在一積雅重路上形成一導體性互連 結構《圖6所示係一類似於圖3中所示之積體電路結構的一 部分15,其中在形成該覆蓋層22之後,在其上形成一氡 化層40。而氡化層40之厚度最好介5至300毫微米之間, 且最好是一經由傳統之化學氣相沈積或電漿沈積技術所形 成之未被滲染的氧化矽層。 圖7中,在氧化層40之上形成一模化遮軍層42。再將氡 化層40及覆蓋層22加以蝕刻只留下氡化層4〇之殘餘部份 44及復蓋層22的殘餘部份46,且係位於遮軍層42之下的 部分》此外,其下之矽質層20亦可加以蝕刻來形成一殘餘 部份50及形成一導體性金屬層is上的曝曬部份48。模化 遮軍層4 2最好係一光阻層,且係由傳統之光蝕刻法來加以 定模。氡化層40,覆蓋層20及矽質層20最好是由傳統之 非等方性電漿蚀刻技術來杜刻而成。例如,若覆蓋層2 〇爲 一絕緣之氮化物層,例如氮化碎,則該氧化層4 〇及復蓋層 (請先閲讀背面之注意事項再填寫本頁) Τ装 '訂 -10- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公董〉 五、發明説明(8 A7 B7 經濟部中央標隼局員工消費合作社印策 20及碎質層20可以用包含四氟甲烷及三氟甲烷的電漿化 學蝕刻法來加以非等方性蝕刻。需了解到亦可用其它之電 漿化學《刻法來將氧化層40及覆蓋層22及矽質層20加以 姓刻’而亦可用多重之化學物蝕刻來將之定模。此外,需 注意到當在對覆蓋層22加以模定時並不需要將矽質層2〇 加以蝕刻。例如,可使用對於矽質層2〇具選擇性之飪刻製 程來將覆蓋層22加以定模。 圖8中,再將導體性金屬層18及矽質層16加以蝕刻來形 成一導體性互連結構56,其中導體性互速56包含矽質層 20的殘餘部分50及導體性金屬層18的殘餘部分52及碎質 層16的殘餘部分54。而導體層18及矽層16最好是用傳統 之非等方性電漿蝕刻技術來進行蝕刻。根據本發明之—較 佳具嫌實施例中,該導體層1 8係矽化鎢,而矽質層1 6則 是多結晶矽,該矽化鎢層是先用包含六氟化硫(Sf6)及溴 化氫(HBr)的電漿化學蝕刻法加以蝕刻,再用包含氣(ci2) 及溴化氣(Η B r _)的電漿化學蚀刻法來將其下之多結晶碎加 以蝕刻。需了解到亦可用其它之化學蝕刻法來形成導髏性 互速結構5 6,而該導性互連結構可由單一独刻步裸亦可由 多重之蝕刻步驃來形成。此外亦需了解若覆蓋層2 2係依據 相對於矽層20來加以模化的,那麼亦可以在將該導髖層 18及矽膺16模化時同時將矽層20加以選擇性的模化《在 形成該導髖性互連5 6之後,則用傳統性之剝離技術將已模 化之遮軍層42移去,而最後的導髏性互連結構如圈8所 示,其中之該殘餘部分44及46係跨於該導體性互連装置 -11- 本紙張尺度適用中國國家標準(CNS ) M規格(210χ297公釐) (請先閲饋背面之注意事項再填寫本頁) H装. -訂 ______ A7 B7 五、發明説明(9 經濟部中央標準局員工消費合作社印製 5 6之上。切需注意到該矽質2 〇的殘餘部分$ 〇係作爲覆蓋 層22之殘餘部分46及導體金屬層18之殘餘部分52之間的 黏》層,並從而將殘餘部份46自殘餘部分52在接下來的 製程中剝姓或剝落的問題減到最少。此外,需了解到亦可 將該殘餘部份44作爲硬質遮軍使用因此可在將導體金屬層 18及矽質層16模化之前便將該模化遮軍層42去除。 前述之描述與圖示説明了本發明的種種優點。特別是已 透露出該覆蓋層或抗反射層與其下之導體金屬層之間的黏 合問題可以透過在其間形成一矽質黏合層來加以改善。更 特別的是在該模化之抗反射層或覆蓋層與其下之導體性互 連之間形成一層矽膠合層可使得在下來的製程中該模化之 抗反射層或覆蓋層的剥離減到最小。更甚者,該矽質膠合 層並不會劣化用來決定互連結構之光阻模式的光蝕刻製 程。再者,可用傳統之製程設備來形成該矽質膠合層。但 本發明的另一優點在於使得可在高級積體電珞中製作次微 米的互連結構。 根據本發明已明白地證實了在一積體電路之中形成—完 全符合前述之要求與優點的導體性互迷的方法^雖然本發 明已麥明某些特定之具體實施例來加以描述並圖示,但此 並未意咮著本發明即僅偈限於這些已圈示之具禮實施例而 已。那些熟於此技者當可認知來可對本發明作種種之修改 及變化但卻仍不偏離本發明之精義。例如,在某—應用中 該矽質層16可以是具選擇存廢性的,而且導髄金屬層18 可以直接形成於介電層14之上。此外,在某些慮用十可在 12 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -- (請先閱讀背面之注意事項再填寫本頁) _^ 五、發明説明(10 ) A7 B7 介電層14上形成'~開口以便該導體性互連可以和其下的互 連或被滲染區電氣相連。更甚者,本發明並未限定於這裡 所提及之特定化犖蝕刻法而已。其它的化學蝕刻法亦可被 用來形成導撤性互連36以及將覆蓋層22定模。再者,本 發明亦未僅限於這裡所给之厚度而已。切注意到本發明絕 非僅侷限於本文所特別提及的材質而已。雖然有述及較佳 具艘實施例,事實上是包括所有適用於本發明之每一元件 的種種材料。再者,在某特定之應用中’該復蓋層22不一 定作爲一抗反射層《因此,本發明意欲包括所有的此類之 落在所附之申諳專利範圍内的變化及修改。 (請先閱讀背面之注意事項再填寫本頁) 丨叫 裝. 訂 經濟部中央標準局員工消費合作社印袋

Claims (1)

  1. 申請專利範圍 經濟部中央標準局員工消費合作社印製 —種方法,該法係用來在一積體電路之中形成一導雜 性亙連結構,包含下列的步驟: 提供一半導雒基座; 在基座上形成一介電質層; 在介電層上形成一金屬眉; 在金屬層上形成一矽質層; 在矽質層上形成一抗反射層; 將該抗反射層加以独刻來形成一刺餘部份;及 將金屬層加以独刻來形成導It性互連,其中該抗反 射層的剩餘部分係跨於導體性互連之上。 一種方法,該法係用來在一積體電路中形成一導體性 互連結構,包含下列之步驟: 提供一丰導體基座; 在基座上形成一介電質層; 在介電質層之上形成一金屬珍化物層; 在金屬矽化物層之上形成一矽質層; 在矽質層之上形成一包含矽及氮的氮化物層; 將該氮化物層加以蝕刻來形成一剩餘部分;及 將該金屬矽化物層加以蚀刻來形成該導體性互連, 其中該氮化物層之剩餘部分係跨在導體性互連之上。 一種方法,該法係用來在一積醴電路中形成一導體性 互連結構,包含下列的步壤: 提供一半導體基座; 在基座上形成一介電質層; -14- 本紙張财料(CNS ) A4*JU^ ( 210X297^* )' -------I.'裝------訂------^ i (請先閲讀背面之注意事項再填寫本頁) B03494申請專利範圍 A8 B8 C8 D8 經濟部中央榡準局貝工消費合作社印製 在介電質層之上形成一多結晶矽層; 在多結晶矽層上形成一矽化鎢層; 在矽化鎢層上形成一非結晶矽層: 在非結晶碎層上形成一包含碎及氮的技反射層; 將該抗反射層加以独刻來形成一剩餘部分;及 將該多結晶矽加以蝕刻來形成該導體性互連,其中 該抗反射蜃的剩餘部份係跨在導體性互連之上u 4. 一種在一積體電路中的導體性構件,包含: —已模化之金屬層; —已模化且跨於已模化之金屬層之上且與之緊接的 矽質層;及 —已模化且跨於已模化之矽質層之上並與之緊接的 抗反射層。 5. Λ種在一積體電路中的導體性構件,包含: —已模化之多結晶矽層; 一已模化而.跨於已模化之多結晶矽層之上並輿之緊 接的金屬矽化物層; —已模化而跨於已模化之金屬矽化物層之上並與之 緊接的矽質層;及 一已模化之跨於已模化之矽質層之上並與之緊接的 包含矽及氮的氮化物層。 15 本紙張尺度適用中國國家搮準(CNS ) Α4規格(210Χ297公釐) (請先閲讀背面之注意事項再填寫本頁)
TW084102209A 1994-05-02 1995-03-08 TW303494B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/236,076 US5441914A (en) 1994-05-02 1994-05-02 Method of forming conductive interconnect structure

Publications (1)

Publication Number Publication Date
TW303494B true TW303494B (zh) 1997-04-21

Family

ID=22888042

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084102209A TW303494B (zh) 1994-05-02 1995-03-08

Country Status (3)

Country Link
US (2) US5441914A (zh)
KR (1) KR100376628B1 (zh)
TW (1) TW303494B (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06302539A (ja) * 1993-04-15 1994-10-28 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP3284687B2 (ja) * 1993-08-31 2002-05-20 ソニー株式会社 配線パターンの製造方法
US5891784A (en) * 1993-11-05 1999-04-06 Lucent Technologies, Inc. Transistor fabrication method
US5854132A (en) * 1994-11-29 1998-12-29 Advanced Micro Devices, Inc. Method for exposing photoresist
US5604157A (en) * 1995-05-25 1997-02-18 Industrial Technology Research Institute Reduced notching of polycide gates using silicon anti reflection layer
JP2638573B2 (ja) * 1995-06-26 1997-08-06 日本電気株式会社 半導体装置の製造方法
JPH0982687A (ja) * 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5767018A (en) * 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US6114716A (en) * 1996-03-22 2000-09-05 The Whitaker Corporation Heterolithic microwave integrated circuits
US5804499A (en) * 1996-05-03 1998-09-08 Siemens Aktiengesellschaft Prevention of abnormal WSix oxidation by in-situ amorphous silicon deposition
US5899724A (en) * 1996-05-09 1999-05-04 International Business Machines Corporation Method for fabricating a titanium resistor
US5753418A (en) * 1996-09-03 1998-05-19 Taiwan Semiconductor Manufacturing Company Ltd 0.3 Micron aperture width patterning process
TW316326B (en) * 1996-09-21 1997-09-21 United Microelectronics Corp Manufacturing method of word line
US5948598A (en) 1996-10-31 1999-09-07 Taiwan Semiconductor Manufacturing Company Ltd. Anti-reflective silicon nitride film using in-situ deposition
JP3409984B2 (ja) * 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US5872057A (en) * 1996-11-22 1999-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming oxide dielectric layer on refractory metal silicide gate
US5908659A (en) * 1997-01-03 1999-06-01 Mosel Vitelic Inc. Method for reducing the reflectivity of a silicide layer
US6153452A (en) * 1997-01-07 2000-11-28 Lucent Technologies Inc. Method of manufacturing semiconductor devices having improved polycide integrity through introduction of a silicon layer within the polycide structure
US5958508A (en) * 1997-03-31 1999-09-28 Motorlola, Inc. Process for forming a semiconductor device
TW322608B (en) * 1997-07-31 1997-12-11 United Microelectronics Corp Manufacturing method of self-aligned salicide
JPH1168095A (ja) * 1997-08-11 1999-03-09 Fujitsu Ltd 半導体装置の製造方法
US6121133A (en) 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US5851927A (en) * 1997-08-29 1998-12-22 Motorola, Inc. Method of forming a semiconductor device by DUV resist patterning
US6103632A (en) * 1997-10-22 2000-08-15 Applied Material Inc. In situ Etching of inorganic dielectric anti-reflective coating from a substrate
US6541164B1 (en) 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6291356B1 (en) 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6995097B1 (en) * 1998-05-27 2006-02-07 Texas Instruments Incorporated Method for thermal nitridation and oxidation of semiconductor surface
KR100269330B1 (ko) * 1998-06-29 2000-12-01 윤종용 반사 방지 캡 및 스페이서를 구비하는 반도체장치, 이의 제조방법 및 이를 이용한 포토레지스트 패턴의 제조방법
KR100294637B1 (ko) * 1998-06-29 2001-10-19 박종섭 모스펫의폴리사이드게이트형성방법
DE19828969A1 (de) * 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6294459B1 (en) 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6806154B1 (en) * 1998-10-08 2004-10-19 Integrated Device Technology, Inc. Method for forming a salicided MOSFET structure with tunable oxynitride spacer
US6326231B1 (en) * 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US6191016B1 (en) * 1999-01-05 2001-02-20 Intel Corporation Method of patterning a layer for a gate electrode of a MOS transistor
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3257533B2 (ja) * 1999-01-25 2002-02-18 日本電気株式会社 無機反射防止膜を使った配線形成方法
JP3059150B1 (ja) * 1999-02-02 2000-07-04 沖電気工業株式会社 ゲ―ト電極構造及びその製造方法
US6274445B1 (en) * 1999-02-03 2001-08-14 Philips Semi-Conductor, Inc. Method of manufacturing shallow source/drain junctions in a salicide process
KR100881472B1 (ko) 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6291363B1 (en) * 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
US6444588B1 (en) 1999-04-26 2002-09-03 Micron Technology, Inc. Anti-reflective coatings and methods regarding same
US6110812A (en) * 1999-05-11 2000-08-29 Promos Technologies, Inc. Method for forming polycide gate
US6342452B1 (en) * 1999-05-20 2002-01-29 International Business Machines Corporation Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask
US6451642B1 (en) * 1999-07-14 2002-09-17 Texas Instruments Incorporated Method to implant NMOS polycrystalline silicon in embedded FLASH memory applications
US6630718B1 (en) * 1999-07-26 2003-10-07 Micron Technology, Inc. Transistor gate and local interconnect
US6107167A (en) * 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6124178A (en) * 1999-08-26 2000-09-26 Mosel Vitelic, Inc. Method of manufacturing MOSFET devices
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6395644B1 (en) * 2000-01-18 2002-05-28 Advanced Micro Devices, Inc. Process for fabricating a semiconductor device using a silicon-rich silicon nitride ARC
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6261967B1 (en) * 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
DE10021098C1 (de) * 2000-04-20 2001-09-20 Infineon Technologies Ag Verfahren zum Herstellen einer Verdrahtungsebene auf einem Halbleiterchip mit einer Antifuse
US6475922B1 (en) * 2000-04-25 2002-11-05 Koninklijke Philips Electronics N.V. Hard mask process to control etch profiles in a gate stack
US6797604B2 (en) * 2000-05-08 2004-09-28 International Business Machines Corporation Method for manufacturing device substrate with metal back-gate and structure formed thereby
DE10030442B4 (de) * 2000-06-22 2006-01-12 Infineon Technologies Ag Verbindungselement in einem integrierten Schaltkreis
US6387785B1 (en) * 2000-07-22 2002-05-14 United Microelectronics Corp. Lithography and etching process
JP2002050742A (ja) * 2000-07-31 2002-02-15 Nec Corp 半導体装置およびその製造方法
US6465889B1 (en) * 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6777171B2 (en) * 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6664191B1 (en) * 2001-10-09 2003-12-16 Advanced Micro Devices, Inc. Non self-aligned shallow trench isolation process with disposable space to define sub-lithographic poly space
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7022625B2 (en) * 2002-07-25 2006-04-04 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a gate dielectric layer with reduced gate tunnelling current and reduced boron penetration
US20040018739A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
KR100459725B1 (ko) * 2002-09-19 2004-12-03 삼성전자주식회사 금속 게이트 패턴을 갖는 반도체소자의 제조방법
US7163880B2 (en) * 2004-06-02 2007-01-16 Texas Instruments Incorporated Gate stack and gate stack etch sequence for metal gate integration
US8268712B2 (en) * 2010-05-27 2012-09-18 United Microelectronics Corporation Method of forming metal gate structure and method of forming metal gate transistor
US8888879B1 (en) 2010-10-20 2014-11-18 Us Synthetic Corporation Detection of one or more interstitial constituents in a polycrystalline diamond element by neutron radiographic imaging

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4065730A (en) * 1976-06-10 1977-12-27 Sperry Rand Corporation Laser optical coupler
US4128670A (en) * 1977-11-11 1978-12-05 International Business Machines Corporation Fabrication method for integrated circuits with polysilicon lines having low sheet resistance
NL8004139A (nl) * 1980-07-18 1982-02-16 Philips Nv Halfgeleiderinrichting.
US4398335A (en) * 1980-12-09 1983-08-16 Fairchild Camera & Instrument Corporation Multilayer metal silicide interconnections for integrated circuits
US4488166A (en) * 1980-12-09 1984-12-11 Fairchild Camera & Instrument Corp. Multilayer metal silicide interconnections for integrated circuits
US4569122A (en) * 1983-03-09 1986-02-11 Advanced Micro Devices, Inc. Method of forming a low resistance quasi-buried contact
US4617252A (en) * 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
US4495222A (en) * 1983-11-07 1985-01-22 Motorola, Inc. Metallization means and method for high temperature applications
JPS61139067A (ja) * 1984-12-11 1986-06-26 Seiko Epson Corp 半導体装置
JPS6286865A (ja) * 1985-10-14 1987-04-21 Mitsubishi Electric Corp Mos型トランジスタ
US4935380A (en) * 1987-08-04 1990-06-19 Mitsubishi Denki Kabushiki Kaisha Method for manufacturing semiconductor device
JPH01241125A (ja) * 1988-03-23 1989-09-26 Sony Corp 半導体装置の製造方法
JPH02155273A (ja) * 1988-12-07 1990-06-14 Nec Corp Mos電界効果トランジスタ
GB9009059D0 (en) * 1990-04-23 1990-06-20 De Beers Ind Diamond Diamond window
DE4112148A1 (de) * 1991-04-13 1992-10-15 Philips Patentverwaltung Lithotripsie-arbeitsplatz
JPH0555130A (ja) * 1991-08-25 1993-03-05 Sony Corp 半導体装置の製造方法
DE4130930A1 (de) * 1991-09-13 1993-03-25 Flachglas Ag Vorsatzaggregat fuer bildschirme oder dergleichen
US5341014A (en) * 1992-01-07 1994-08-23 Matsushita Electric Industrial Co., Ltd. Semiconductor device and a method of fabricating the same
US5428244A (en) * 1992-06-29 1995-06-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a silicon rich dielectric layer
US5264076A (en) * 1992-12-17 1993-11-23 At&T Bell Laboratories Integrated circuit process using a "hard mask"
US5346586A (en) * 1992-12-23 1994-09-13 Micron Semiconductor, Inc. Method for selectively etching polysilicon to gate oxide using an insitu ozone photoresist strip
US5378659A (en) * 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
JP3043325B2 (ja) * 1997-12-18 2000-05-22 株式会社神戸製鋼所 還元鉄ペレットの製造方法およびこの方法で製造した還元鉄ペレット

Also Published As

Publication number Publication date
KR950034678A (ko) 1995-12-28
US5872385A (en) 1999-02-16
US5441914A (en) 1995-08-15
KR100376628B1 (ko) 2003-06-25

Similar Documents

Publication Publication Date Title
TW303494B (zh)
US4361599A (en) Method of forming plasma etched semiconductor contacts
GB2214709A (en) A method of enabling connection to a substructure forming part of an electronic device
GB1562877A (en) Manufacture of insulated gate field effect transistors
JP2003519434A (ja) 半導体構成素子を製造するための方法
TW383462B (en) Manufacturing method for via
US5871886A (en) Sandwiched middle antireflection coating (SMARC) process
US6348414B1 (en) Method for forming fine metal patterns by using damascene technique
JP2003133412A (ja) 銅に対する誘電体層の接着改良方法
TW415110B (en) Fabrication method of thin-film transistor
KR100329788B1 (ko) 반도체소자의본딩패드형성방법
TW480551B (en) Manufacture method to prevent tape residue for protection layer
JPH0878523A (ja) 半導体装置およびその製造方法
TW462104B (en) Manufacturing method for integrating the copper wire and capacitor device
TW447020B (en) Method for forming a self-aligned silicide
JP2705187B2 (ja) 半導体素子製造方法
JP2739842B2 (ja) 半導体装置の製造方法
TW396442B (en) Preventing the conductive layer from the damage by chemical mechanical polishing method
JPH01212458A (ja) 薄膜ハイブリットic装置の製造方法
TW468216B (en) Etching process
TW504831B (en) Manufacturing method of single chip system
TW405166B (en) Method of manufacturing a protective layer for the gate electrode
TW396461B (en) Method for forming the gate oxide layer of MOS devices
TW393703B (en) Method for controlling the thickness of the protective layer of the semiconductor components
TW399294B (en) Process for etching dielectrics

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent