KR100242897B1 - 플라즈마 처리챔버의 가열된 금속 표면들을 화학적으로 공격성인 기체상의 종들로 부터 보호하기 위한 방법 및 그에 사용되는 세라믹 보호층(ceramic protection for heated metal surface of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surface) - Google Patents

플라즈마 처리챔버의 가열된 금속 표면들을 화학적으로 공격성인 기체상의 종들로 부터 보호하기 위한 방법 및 그에 사용되는 세라믹 보호층(ceramic protection for heated metal surface of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surface) Download PDF

Info

Publication number
KR100242897B1
KR100242897B1 KR1019950005314A KR19950005314A KR100242897B1 KR 100242897 B1 KR100242897 B1 KR 100242897B1 KR 1019950005314 A KR1019950005314 A KR 1019950005314A KR 19950005314 A KR19950005314 A KR 19950005314A KR 100242897 B1 KR100242897 B1 KR 100242897B1
Authority
KR
South Korea
Prior art keywords
metal
ceramic
susceptor
processing chamber
plasma processing
Prior art date
Application number
KR1019950005314A
Other languages
English (en)
Other versions
KR950032709A (ko
Inventor
엔. 도른페스트 챠알스
화이트 존엠.
에이. 베르코 크레이그
스티븐 도모자와 히로유끼
마아크에이.포도르
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22796865&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100242897(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR950032709A publication Critical patent/KR950032709A/ko
Application granted granted Critical
Publication of KR100242897B1 publication Critical patent/KR100242897B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 플라즈마 처리챔버 내에서 재료를 처리하는 과정동안에 플라즈마로 부터 발생된 화학적으로 공격적인 종들에 의하여 금속 표면들이 공격받는 것을 방지하기 위하여, 플라즈마 처리챔버 내의 금속면, 특히 가열된 금속 전극면들에 비결합성 세라믹 보호층을 제공하려는 것이다. 이때, 세라믹 재료와 금속 표면은 결합하지 않는다. 본 발명에 따르면, 세라믹 보호층은 가열된 금속과 결합상태가 아닌 접촉상태를 유지하는 얇은 덮개 재료로 이루어진다. 이러한 형태의 세라믹 보호층은 집적회로 구조물을 형성하도록 반도체 기판을 처리하는데 사용된 플라즈마 처리챔버내에서 글로우 방전 전극들의 표면과 가스 분배장치를 보호하는데 특히 유용하다. 플라즈마에 의해서 발생된 기체상 종들로 부터 바람직한 보호를 제공하는데 사용되는 세라믹 재료는 질화 알루미늄, 결정성 산화 알루미늄, 불화 마그네슘 및 소결된 산화 알루미늄으로 이루어진 그룹으로 부터 선택된다.

Description

플라즈마 처리 챔버의 가열된 금속 표면들을 화학적으로 공격성인 기체상의 종들로부터 보호하기 위한 방법 및 그에 사용되는 세라믹 보호층
제1도는 플라즈마 처리 장치의 금속 서셉터 전극용 비결합 세라믹 덮개의 일실시예의 수직 단면도이다.
제1a도는 제1도의 부분도면으로서, 서셉터의 금속하면을 보호하기 위한 세라믹 덮개와 세라믹 슬리이브 상에서 나사를 이용하여 세라믹 슬리이브를 금속 서셉터에 고정시키기 위한 수단을 나타낸 도면이다.
제2도는 제1도에 도시된 구조물의 일부분의 저면도로서, 세라믹 슬리이브를 금속 서셉터에 고정시키기 위해 세라믹 슬리이브 중에 형성된 환형 그루브를 나타낸 도면이다.
제3도는 제1도에 도시된 스냅링의 저면도이다.
제4도는 제1도에 도시된 구조물 일부분의 저면도로서, 제3도의 스냅링이 제2도의 환형 그루브에 삽입된 상태를 나타낸 도면이다.
제5도는 제1도에 도시된 부분의 부분 수직 단면도로서, 제3도에 도시된 스냅링과 같은 2개의 스냅링을 수용하도록 변형된 환형 그루브를 나타낸 도면이다.
제6도는 제5도에 도시된 실시예의 저면도로서, 제3도의 스냅링 2개가 제5도의 확대된 환형 그루브내에 삽입된 상태를 나타낸 도면이다.
제7도는 세라믹 재료들을 금속 서셉터 전극에 고정시키는데 사용된 세라믹 슬리이브의 또다른 실시예를 보여주는 도면으로서, 슬롯형 환형 그루브를 포함하는 세라믹 슬리이브의 저면도이다.
제8도는 제7도에 도시된 슬롯형 환형 그루브내에 수용될 수 있는 탭들을 구비한 세라믹 디스크의 저면도이다.
제9도는 서셉터로부터 반도체 기판을 제거하는데 사용되는 리프트 핑거를 수용하도록 금속 서셉터 전극에 통상적으로 제공되는 핑거 리프트 개구부내로 보호성 세라믹 슬리이브가 정합된 것을 나타낸 도면으로서, 제1도에 도시된 실시예의 부분 수직 단면도이다.
제10도는 금속 서셉터 전극의 기저면에 형성되어 있는 확대된 카운터 보어에 보호성 세라믹 슬리이브의 플랜지가 수용된 상태를 나타낸 도면으로서, 제9도의 보호성 세라믹 슬리이브 구조물의 또다른 실시예의 부분 수직 단면도이다.
제11도는 작동 온도까지 가열됨에 따라 야기되는 금속 서셉터 전극의 휨을 보완하는 세라믹 디스크의 초기의 오목형상을 보여주는 도면으로서, 금속 서셉터 전극의 상부면 위로 정합되는 제1도에 도시된 세라믹 덮개의 중앙판 부분의 수직 단면도이다.
제12도는 플라즈마 처리 장치의 금속 서셉터 전극에 대한 비결합 세라믹 덮개의 또다른 실시예의 수직 단면도이다.
제13도는 작동 온도까지 가열됨에 따라서 야기되는 금속 서셉터 전극의 휨을 보완하는 세라믹 보호 덮개의 디스크 중앙 부분의 초기 오목 형상을 보여주는 도면으로서, 제11도에 도시된 실시예의 수직 단면도이다.
제14도는 플라즈마 처리 장치의 금속 샤워헤드 전극에 대한 비결합 세라믹 덮개의 일실시예의 분해도이다.
제15도는 플라즈마 처리 장치의 금속 샤워헤드 전극에 대한 비결합 세라믹 덮개의 또다른 실시예의 분해도이다.
제16도는 비결합 세라믹 재료에 의해서 보호되는 금속 표면들을 갖는 금속 서셉터와 금속 샤워헤드가 구비되어 있는 플라즈마 처리 챔버의 수직 단면도이다.
* 도면의 주요부분에 대한 부호의 설명
10, 50, 50', 60 : 알루미늄 서셉터
20, 30, 30', 30", 120 : 질화 알루미늄 디스크
14, 114, 156 : 측벽 24, 124 : 칼라
26, 26', 26" : 스커트 27 : 슬롯
28, 128 : 그루브 32 : 탭
40, 42 : 스냅 링 52 : 핑거 개구부
54 : 카운터 보어 62, 72, 117, 122, 154, 158 : 개구부
80, 126 : 슬리이브 82 : 플랜지
100 : 컵형상 쉘 102 : 전방면
104 : 중앙 리세스 110 : 알루미늄 컵
200 : 플라즈마 처리 챔버 202 : RF 전원
본 발명은 플라즈마 처리 챔버의 가열된 금속 표면들을 보호하는 것에 관한 것이며, 특히 특정한 세라믹 재료가 덮혀진 금속 전극들을 갖추고 있으며 반도체 기판을 처리하는 공정 동안에 플라즈마 중에 형성된 가스종들에 의한 공격으로부터 금속 전극들을 보호하도록 구성된 플라즈마 처리 챔버, 및 상기 가스종들에 의한 공격으로부터 금속 전극을 보호하면서 플라즈마 처리 챔버를 작동시키기 위한 방법에 관한 것이다.
가열된 반도체 기판들을 처리하는 공정 동안에 플라즈마 처리 챔버내에서 화학적으로 공격적인 환경에 노출되는 금속 부품들로서는 예를들어 서셉터(웨이퍼 지지대)전극 및 샤워헤드(가스 분배)전극을 들 수 있다. 알루미늄은 일반적으로 이와 같은 전극들을 구성하는 금속재료로서 바람직하다. 왜냐하면, 알루미늄은 반도체를 처리하는데 있어서 비교적 저렴한 재료이고 가장 폭넓게 채용되는 금속이기 때문이다.
종래 기술에 따르면, 플라즈마 강화 화학적 증기증착(PECVD) 또는 에칭 장치에서 상기와 같은 알루미늄 전극 표면들을 보호하기 위해서는, 반도체 기판들을 처리하는 공정 동안에 약 200 내지 500℃의 온도로 가열될 수 있는 알루미늄 금속 표면에 결합되는 보호층으로서 산화 알루미늄(Al2O3)피막과 같은 비교적 불활성의 세라믹 재료를 사용한다. 상기한 산화알루미늄 피막은 고온에 견디며, 예컨대 에칭 공정 등에서 사용되는 필수적인 공정조건의 전형적인 예가 되는 글로우 방전으로 여기된 공격적인 할로겐 종들을 견딜 수 있다.
플라즈마 처리 장치에서 챔버벽들이나 전극들에 대한 다른 형태의 코팅이 또한 알려져 있다. 예를들면, 레벤스타인(Levenstein) 등에게 허여된 미합중국 특허 제4,427,516호에는 에칭 과정 동안에 오염을 최소화하기 위해서 웨이퍼 고정 조립체들의 상부판들을 실리콘으로 코팅하는 것이 개시되어 있다. 라포르테(LaPorte)등에게 허여된 미합중국 특허 제4,491,496호에는 Al2O3의 화염 분무(flame spraying)에 의해서 에칭 챔버의 금속 표면들을 코팅하는 것과, Al2O3또는 텅스텐으로 하부 전극(RF 전위하에서)을 코팅시키는 것이 기재되어 있다. 후지야마(Fujiyama) 등에게 허여된 미합중국 특허 제4,526,644호에는 불소 함유 플라즈마에 노출되는 경우에 플루오르화물을 쉽게 형성하지 않는 금속으로 스테인레스강 구조물을 코팅하는 것이 기재되어 있다. 샤프-게이슬러(Sharp-Geisler)에게 허여된 미합중국 특허 제4,612,432호에는 플라즈마에 의한 알루미늄의 스퍼터링에 의해서 웨이퍼가 오염되는 것을 피하기 위해서 실리콘 소모층으로 알루미늄 전극을 코팅시키는 것이 개시되어 있다. 일본국 특허 제56-87667호에는 에칭 과정동안에 실리콘 샘플상에 증착물이 형성되는 것을 방지하기 위해서 테프론이나 폴리에스테르와 같은 탄소재료 또는 유기재료로 표면들을 코팅시키는 것이 개시되어 있다. 일본국 특허 제62-47131호에는 웨이퍼의 주변부에 전기장이 집중되는 것을 방지하기 위해서 RIE 장치내에서 웨이퍼 지지 전극의 외곽주변부를 절연체로 코팅시키는 것이 기재되어 있다.
그러나, 알루미늄(플라즈마 처리 장치의 제작시에 선택된 재료)은 산화 알루미늄과 같은 세라믹을 포함하는 대부분의 적당한 보호성 코팅재료들 보다 훨씬 큰 열팽창 계수를 갖는다. 따라서, 세라믹 보호층들(특징적으로 낮은 인장 강도를 가짐)은 가열시에 밀착 결합된 알루미늄 금속의 온도 증가에 따른 팽창으로 인해 균열되어 파손된다. 그러므로, 코팅되거나 산화 피막된 알루미늄 부품들이 지금까지 공지된 최선의 제조방법을 사용하여 형성되는 경우라고 할지라도, 이들 알루미늄 부품들은 이와 같은 공격적인 환경하에서는, 특히 열적인 순환이 요구되는 경우에는, 결국 파손될 수밖에 없으며, 따라서 이들 파손된 부품들을 교체하는데 있어서 비용이 많이 들고 시간 소모가 많아지는 문제점이 발생한다.
종래 기술에 따르면, 이와 같은 열팽창 문제를 해결하기 위해서 알루미늄에 대한 대체재로서 철-니켈-코발트 합금과 같은 낮은 열팽창 계수를 갖는 금속 합금, 예를 들어 코바르(KOVAR)를 사용한다. 그러나, 이러한 합금에 포함된 철, 니켈, 및 코발트는 반도체 처리 장치에서 오염을 일으킬 수 있다. 하자노(Hazano) 등에게 허여된 미합중국 특허 제4,693,777호에는 철 또는 니켈 오염을 방지하기 위해서 에칭 챔버의 스테인레스강 벽의 내면에 라이닝을 제공하는 것이 개시되어 있다. 이러한 라이닝은 알루미늄으로 이루어지는 것이 바람직하지만, 탄소 또는 실리콘카바이드로 제조할 수도 있다. 일본국 특허 제62-113761호에는 진공 용기의 내부면을 탄소막으로 라이닝시켜 약간의 가스 배출면을 형성시킴으로써 용기로부터 가스가 전적으로 배출되는 것을 억제하는 것이 개시되어 있다. 그러나, 이러한 해결 방안중 어떤 것을 사용하여도, 알루미늄이 챔버 및 전극 재료로서 사용되지 않는 경우에는, 대체 재료로 사용되는 철, 니켈, 크롬 또는 코발트가 결함이 존재하는 반도체 영역으로 결코 이동되지 않을 것이라는 것을 보장하기 어렵다.
그러므로, 알루미늄 전극들과 그 위의 보호성 세라믹 표면 사이의 열팽창차이를 발생시키지 않으면서 플라즈마 중에서 발생된 공격적인 가스종들에 의한 공격에 견딜 수 있는 표면을 제공하는 동시에, 플라즈마 처리 장치내의 전극 재료로서 알루미늄과 같은 혼화성 금속을 사용하는 것이 대단히 바람직하다.
본 발명은 재료의 처리과정 동안에 플라즈마 중에서 발생된 화학적으로 공격적인 가스종들에 의해서 가열된 금속 표면들이 공격받는 것을 방지 또는 억제하기 위하여, 플라즈마 처리 챔버 내에서 세라믹 재료와 금속 표면이 결합되지 않은 상태로 금속 표면, 특히 가열된 금속 전극 표면에 비결합세라믹 보호층을 제공하는 것이다. 본 발명에 따르면, 세라믹 보호층은 얇은 덮개 재료로 이루어지는데, 이 덮개 재료는 가열된 금속에 밀착되지만, 결합되지는 않는다. 이러한 형태의 세라믹 보호층은 집적 회로 구조물을 형성하도록 반도체 기판을 처리하는데 사용되는 플라즈마 처리 챔버 내에서 글로우 방전 전극 및 가스 분배 장치의 표면을 보호하는데 특히 유용하다.
이하, 첨부 도면을 참조하여 본 발명을 보다 상세하게 설명한다.
본 발명에 따르면, 재료들의 처리과정 동안에 플라즈마 중에서 발생된 화학적으로 공격적인 가스종들에 의해서 가열된 금속 표면들이 공격당하는 것을 방지 또는 억제하기 위하여, 플라즈마 처리 챔버 내에서 금속 표면들, 특히 가열된 금속 전극 표면들에 비결합 세라믹 보호층을 제공한다. 세라믹 보호층은 금속과 세라믹 재료의 열팽창율 차이로 인하여 세라믹 보호층이 균열되는 것을 피하기 위하여 세라믹 재료가 금속 표면상에 결합하지 않는 상태로 금속 표면에 적용되어 고정된다. 그러므로, 세라믹 보호층은 가열된 금속에 밀착되지만 결합되지는 않는 얇은 덮개 재료로 이루어진다.
이러한 형태의 세라믹 보호층은 집적 회로 구조물을 형성하기 위해 반도체 기판을 처리하는데 사용되는 플라즈마 처리 챔버 내에서 글로우 방전 전극들과 가스 분배 장치의 표면들을 보호하는데 특히 유용하다. 본 발명은 원래의 또는 산화 피막된 알루미늄 전극들이 200℃를 초과하는 작동온도에 노출되는 경우에 오랜시간 동안 지속될 수 있게 한다. 200℃이상의 온도에서는 결합된 세라믹 코팅층들이 대개 열팽창율의 차이로 인하여 균열을 일으키는데, 이에 의해 노출된 알루미늄은 균열을 통해서 플라즈마 화학종들의 공격을 받는다. 플라즈마 처리 챔버의 작동에 이러한 장치와 방법을 사용하면, 찌꺼기의 형성이 줄어들고, 찌꺼기의 형성에 대한 전극들의 감수성이 더욱 억제되는데, 이는 보호된 전극들의 표면상에 생성되거나 가중되는 의도되지 않은 찌꺼기들(이들은 항상 유전체이다)이 플라즈마 처리의 전기적인 특성들을 거의 변화시키지 않기 때문이며, 이는 이러한 찌꺼기들이 형성되기 전에 이미 금속 전극 표면들이 유전 재료 및 절연 재료로 덮히게 되기 때문이다.
서셉터 상에 피복되는 세라믹 보호층에 의해서 얻어지는 또 하나의 잇점은, 서셉터 전극이 세라믹 덮개에 의해서 보호되는 경우에, 서셉터 전극표면이 상기 표면상의 반도체 웨이퍼와 함께 플라즈마에 대하여 보다 균등한 임피던스 분포를 제공한다는 것이다.
반도체 웨이퍼가 서셉터 상에 놓여있는 경우에도 여전히 노출된 서셉터의 주변부 위로 세라믹 덮개를 제공하는 것은 균등한 임피던스를 제공하고 부식으로부터 서셉터를 보호하는데 특히 중요하다.
a. 세라믹 보호층
가열된 금속 표면들 위로 분포되는 세라믹 보호층은 양호한 유전 성질을 가지며, 플라즈마 환경에 노출되는 경우에 양호한 내열충격성, 양호한 열전도도, 및 양호한 내마모성을 갖는 세라믹 재료로 이루어진다. 세라믹 재료는 양호한 조립 특서을 나타낸다. 즉, 파열 없이 약 125㎛ 내지 약 12.5mm(약 5mil 내지 약 500mil) 두께의 얇은 시이트로 취급될 수 있다. 양호한 내열충격성이란, 가열된 서셉터를 덮는 세라믹 재료에 균열을 일으킴이 없이 500℃ 정도의 높은 온도로 가열된 서셉터 상에 실오의 기판을 놓을 수 있음을 의미한다. 양호한 열전도도란 적어도 100w/m-℃의 열전도도를 의미한다. 양호한 유전 성질은 세라믹 절연체가 RF 주파수 전압을 아래에 놓인 금속 전극으로 전달하는 동시에, 직류(DC)를 차단할 수 있는 것을 의미한다.
위에서 언급한 모든 성질들을 나타내는 바람직한 세라믹 재료는 질화알루미늄(AIN)이다. 질화 알루미늄은 반응성 할로겐 환경(글로우 방전 활성화된 불소)에 대한 내화학성, 높은 열전도도(100 내지 200w/m-℃), 높은 내열충격성, 예를 들어 약 400 내지 500℃로 가열된 질화 알루미늄판 상에 놓이는 실온의 실리콘 기판을 수용하는 성질을 나타낸다. 앞서 언급한 특성들을 만족시켜 사용될 수 있는 다른 재료로는 결정성 산화알루미늄(사파이어), 불화, 마그네슘, 소결된 산화 알루미늄, 및 산화 마그네슘이 있다.
세라믹 재료의 두께는 일반적으로 125㎛(5mil)이나, 화학적인 보호 뿐만 아니라 전기적인 특성이라는 관점으로 볼 때 훨씬 얇은 두께도 가능하다. 그러나, 두께의 하한치는 다양한 형상물(하기에 설명됨)을 제작하는 동안 및 보호해야 하는 금속 부분 위로 세라믹 재료를 설치하는 동안에 세라믹 재료의 취급 특성들에 의해 조절될 것이다. 통상적으로, 세라믹 보호층의 두께는 약 500㎛(20mil)이다.
세라믹 보호층 두께의 상한치는, 일반적으로 중요하지 않지만 세라믹 재료의 RF 임피던스, 낮아진 열전도도 및 재료의 가격에 의해서 조절된다. 재료의 통상적인 최대 두께는 약 12.5mm(500mil)을 초과하지 않는다.
바람직한 재료인 질화 알루미늄은 소결된 질화 알루미늄을 포함하며, 소량, 즉 약 0.5 내지 2중량%의 산화 이트륨 또는 산화 마그네슘과 같은 소결제를 함유할 수도 있다. 질화 알루미늄의 열전도도를 저하시키는 옥시질화 알루미늄의 형성을 피하기 위해서, 질화 알루미늄은 비산화성 대기, 바람직하게는 산소가 거의 없는 질소 대기하에서 소결시켜야 한다. 소결전에, 질화 알루미늄 입자들의 입자 크기는 바람직하게는 약 2㎛ 내지 약 20㎛ 범위이다. 상기한 소결체 또는 소결제들이 존재하는 경우를 제외하고, 각각의 세라믹 재료는 적어도 약 90중량%, 바람직하게는 약 99중량%, 가장 바람직하게는 약 99.9중량%의 순도를 가져야 한다.
b. 세라믹 보호층의 조립된 형상
제1도는 본 발명의 바람직한 실시태양으로, 세라믹 재료가 비결합 상태로 둘러싸인 금속 서셉터를 예시한다. 서셉터 또는 샤워헤드 전극들과 같은 금속 재료들은 여기에서 알루미늄으로 언급된다. 반면에, 보호성 세라믹은 설명을 위해서 질화 알루미늄으로 언급되지만 이로써 제한되는 것은 아니다. 제1도에 있어서, 플라즈마 처리 챔버 내에서 반도체 기판을 지지하는데 사용된 알루미늄 서셉터(10)는 강체의 실린더로 이루어지는데, 서셉터(10)의 절개부(12)내에 수용되는 원형의 질화 알루미늄 세라믹 디스크(20)와 대략적으로 동등한 깊이 및 직경을 갖는 중앙 원형 절개부(12)가 구비된 상부면을 갖는다. 서셉터(10)는 일반적으로 나삿니 보울트(4)에 의해서 중앙의 서셉터 지지부(2)에 부착된다. 지지부(2)는 지지 아암(6)에 의해서 플라즈마 처리 챔버(도시되지 않음)의 벽에 연결된다. 지지부(2) 및 지지 아암(6)은 세라믹 재료로 형성되며, 이에 의해, 처리 챔버 내에서 플라즈마에 의해 발생된 화학적인 종들의 공격에 대한 추가의 보호가 필요없게 된다.
세라믹 디스크(20)는 질화 알루미늄 스커트(26)를 갖춘 원형의 질화알루미늄 슬리이브 또는 칼라(24)에 의해서 서셉터(10)에 대향되어 있다. 스커트(26)는 알루미늄 서셉터(10)의 열팽창이 가능하도록 원통형 알루미늄 서셉터(10)의 외경(OD)보다 약간 큰 내경(ID)을 갖는다. 즉, 1 내지 2% 정도 큰 내경을 갖는다. 도면을 통해서 알 수 있는 바와같이, 질화 알루미늄 스커트(26)의 길이는 서셉터(10)의 측벽(14)의 전체 외면이 질화 알루미늄 스커트(26)에 의해서 덮히도록 알루미늄 서셉터(10)의 두께보다 약간 길다. 서셉터(10)의 전체 알루미늄 측벽(14)에 대한 질화 알루미늄 스커트(26)의 적용범위는, 종래의 플라즈마 처리 챔버에서 발생된 플라즈마가 반도체 기판을 통과하는 임피던스 경로가 높아짐으로 인하여 서셉터(10)의 중심부(반도체 웨이퍼가 위치됨)보다는 가장자리 쪽으로 흐르는 경향이 있기 때문에 중요하다. 서셉터(10)의 알루미늄 측벽(14)을 질화 알루미늄 절연재료로 보호함으로써 임피던스가보다 평형을 이루게 된다.
제1도에 도시된 바와같이,칼라(24)의 내경(ID)은 칼라가 질화 알루미늄 디스크(20) 상에 중첩되도록 상기 디스크(20)의 외경 보다 약간 작다. 이에 의해, 플라즈마에 의하여 발생된 화학종들이 칼라(24)와 디스크(20) 사이의 교차부를 통해서 아래에 놓인 서셉터에 도달하는 것이 추가로 억제된다.
제1도에 있어서, 알루미늄 서셉터(10)의 금속 배면(16)은 상부면(32)과 하부면(34)을 갖춘 질화 알루미늄 디스크(30) 형태의 세라믹 덮개에 의해서 바람직하게 보호된다. 디스크(30)는 질화 알루미늄 스커트(26)의 내경보다 약간 작은 외경(OD)를 갖는다. 상부의 질화 알루미늄 디스크(20), 질화알루미늄 칼라(24)와 스커트(26), 및 하부의 질화 알루미늄 디스크(30)의 조립체를 함께 유지하기 위해서, 하부 디스크(30)의 하부면(34) 바로 아래의 스커트(26)의 내부면에 환형의 그루브 또는 슬롯(28)이 제공된다(제1도 및 제2도 참조).
제3도 및 제4도에 도시된 바와같이, 환형 그루브(28)의 직경보다 약간 큰 외경(OD)(신장되지 않은 경우)을 갖는 스냅링(40)이 상부의 질화 알루미늄 디스크(20), 질화 알루미늄 칼라(24)와 스커트(26), 및 하부의 질화 알루미늄 디스크(30)의 조립체를 함께 유지하기 위해서 그루브(28)내로 삽입될 수 있다(제1도 및 제4도 참조).
제1a도를 참조하면, 금속 서셉터(10)의 배면을 보호하는 질화 알루미늄 디스크에 질화 알루미늄 스커트를 고정시키기 위한 또다른 수단이 도시되어 있다. 이 실시예에서, 스커트(26')는 변형된 질화알루미늄 디스크(30')의 단부 가장자리상에 제공된 수 나사(31)를 수용하도록 스커트의 단부 가장자리에 인접하게 형성된 암 나사(25)를 구비한다.
제5도 및 제6도에는 알루미늄 서셉터(10) 주위로 상기 조립체를 보유하기 위한 또다른 수단이 도시되어 있다. 여기에서, 환형 그루브(28')는 2개의 스냅링(40, 42)을 수용하기에 충분한 폭을 가진다. 제6도에 도시된 바와 같이, 2개의 스냅링은 엇물려서, 스냅링의 단부들 사이에는, 플라즈마 화학종들이 하부의 질화 알루미늄 디스크(30)의 외부 가장자리와 스커트(26)의 내부 가장자리 사이의 공간에 직접 도달할 수 있는 개구부가 존재하지 않게 된다.
제7도 및 제8도에는 알루미늄 서셉터(10) 주위로 상기 조립체를 함께 보유하기 위한 또다른 수단이 도시되어 있다. 이 실시예에서, 스커트(26")의 기저면에 슬롯(27)들이 제공되는데, 이 슬롯(27)들은 환형 그루브(28)까지 연장되며, 하부의 질화 알루미늄 디스크(30")에는 탭(32)들이 구비되어 있다. 디스크(30")상의 탭(32)들은 슬롯(27)들 내로 삽입되고, 디스크(30")가 회전하여 환형 그루브(28)에 탭(32)들을 체결시킨다.
제9도에는 본 발명의 다른 실시형태가 도시되어 있는데, 여기에서 핑거 개구부(52)들이 원형 알루미늄 서셉터(50)중에 형성되어 있다. 이러한 원형 알루미늄 서셉터(50)는 서셉터(10)와 유사하다. 이와 같은 핑거 개구부(52)들은 통상적으로 반도체 기판의 처리후에 서셉터로부터 반도체 기판을 들어올리는 것을 지원하도록 서셉터의 하부면으로부터 핑거들이 삽입될 수 있게 서셉터에 제공된다. 이러한 경우에, 핑거들이 적절하게 작동할 수 있도록, 상부 및 하부의 질화 알루미늄 보호 디스크에도 또한 개구부들이 제공되어야 한다. 그러나, 이와 같은 개구부들은 알루미늄 서셉터(50)에서 개구부들의 노출된 알루미늄 측벽면에 플라즈마 화학종들을 진입시킨다. 이를 방지하기 위해서, 서셉터(50)의 핑거 개구부(52)보다 작은 직경을 갖는 개구부(62, 72)가 하부의 질화 알루미늄 디스크(70) 및 상부의 질화 알루미늄 디스크(60)에 제공된다. 또한, 확대된 카운터 보어(54)가 개구부(52)와 동축인 알루미늄 서셉터(50)의 상부면에 제공될 수 있다. 대략 개구부(52)의 동축인 알루미늄 서셉터(50)의 상부면에 제공될 수 있다. 대략 개구부(52)의 직경과 동일한 외경(OD)을 갖는 세라믹 삽입부재 또는 슬리이브(80)가 개구부(52)내로 삽입된다. 슬리이브(80)상의 플랜지(82)는 카운터 보어(54)내로 끼워맞춤된다. 이러한 방식으로, 알루미늄 서셉터(50)의 표면들은 알루미늄 서셉터(50)에 핑거 개구부(52)들이 존재함에도 불구하고, 플라즈마에서 발생된 화학종들로부터 여전히 보호된다.
제10도에는 또다른 바람직한 실시예가 도시되어 있다. 여기에서, 질화 알루미늄 플랜지(82)가 질화 알루미늄 슬리이브(80)의 상단부상에 제공되어 있는데, 이는 서셉터(50)의 상부면이 보다 플라즈마 화학종들에 의한 공격을 받기 쉽기 때문이며, 제10도에 도시된 바와같이 질화 알루미늄 슬리이브(80)를 조립체의 기저면에 장착시키는 것이 바람직할 수도 있다. 이 경우, 알루미늄 서셉터(50')는 서셉터(50')의 배면상에 개구부(52)와 동축인 서셉터(50)의 하면상에 카운터 보어(54')가 형성될 것이다. 이어서, 슬리이브(80)가 서셉터(50')의 개구부(52) 내로 삽입되어, 플랜지(82)가 제10도에 도시된 바와같이 카운터 보어(54')내로 미끄러지게 될 것이다. 상부의 질화 알루미늄 디스크(60)상에 반도치 기판이 놓이면, 상부로부터 개구부(52)로 이동하는 플라즈마 화학종들의 접근으로부터 충분하게 보호되고, 플라즈마 화학종들이 조립체의 기부로부터 서셉터(50)의 개구부(52)의 알루미늄 측벽면으로 진입하는 것을 방지할 필요성을 더욱 요하는 경우 상기와 같은 유형의 구조가 바람직할 수있다.
제11도에 있어서, 질화 알루미늄 디스크(20)(또는 제9도 및 10도에서는 참조부호 60으로 표기됨)는 편평하게 형성되기 보다는 오목면을 갖도록 구성되는 것이 바람직하다. 이것은 알루미늄 서셉터를 가열함에 따라서 알루미늄 서셉터가 휘는 것을 보완한다. 즉, 온도 변화에도 불구하고 질화알루미늄 디스크(20)가 알루미늄 서셉터(10)와 열적 및 전기적으로 접촉하게 한다. 디스크(20)의 테두리와 칼라(24)가 접촉하여 조립되는 경우, 디스크(20)는 서셉터(10) 상에 편평하게 놓이도록 구부러지거나 신장된다(제1도 참조).
칼라(24)에 의해서 서셉터(10)에 대하여 구부러지기 전에, 디스크(20)의 테두리 평면으로부터 질화 알루미늄 디스크(20)의 중심까지의 깊이(a)는 서셉터의 직경에 따라서 변하고, 따라서 처리될 반도체 기판의 크기에 따라서 변한다. 20㎝(8inch) 직경을 갖는 알루미늄 서셉터에 대해서, 즉 200mm(8inch) 직경의 반도체 기판들을 처리하기 위해서, 상기 수치 "a"값은 약 0.1mm 내지 0.5mm 범위일 수 있다. 약 12.5mm(5inch)의 직경을 갖는 알루미늄 서셉터에 대해서, 즉 100mm(5inch) 직경의 반도체 기판들을 처리하기 위해서, 상기 수치 "a"값은 약 0.1mm 내지 약 0.25mm 범위일 수 있다. 이들 값의 중간인 서셉터의 직경에 따라서 "a"값의 범위가 상응하게 조정될 것이다.
제1도 내지 제11도에 도시된 실시예들에 있어서, 질화 알루미늄 디스크(20)는 질화 알루미늄 칼라(24)와 분리된 부품이며, 스커트(26)는 그에 따라서 제공된다. 제12도 및 제13도에 도시된 실시예에서는 질화 알루미늄으로된 한 조각의 컵형상 쉘(100)을 사용한다. 컵형상 쉘(100)은 선행 실시예에서의 디스크(20)와 칼라(24) 사이의 접합부를 불필요하게 한다. 제1도 내지 제11도에 도시된 실시예는 제12도 및 제13도의 실시예를 구성하는데 있어서 보다 용이하고 경제적이다. 제12도 및 제13도의 실시예는 하부의 알루미늄 서셉터를 화학적인 공격으로부터 최적으로 보호한다. 쉘(100)의 전방면(102)에는 중앙 리세스(104)가 구비되어 있는데, 이 리세스는 반도체 기판을 수용하여 보유하는 것으로서, 디스크(20)에 대한 칼라(24)의 기능과 유사한 기능을 수행한다.
제12도에 있어서, 쉘(100)은 제1도의 실시예에서와 같이, 단일 스냅링(40)이 삽입된 환형 그루브(28)를 사용하여 알루미늄 서셉터(10)에 고정된다. 쉘(100)은 또한 제5도 및 제6도의 2중 스냅링 구조물{제13도에서 확대된 환형 그루브(28')으로 도시됨}을 이용하여 서셉터(10)에 고정시킬 수 있음은 물론이다. 제9도 및 제10도에 도시된 바와같은 핑거 개구부들이 질화 알루미늄 쉘(100)과 함께 사용될 수 있다.
제14도에는 플라즈마 처리 챔버 내에서 알루미늄 샤워헤드 또는 양극을 보호하기 위한 제1실시예가 예시되어있다. 샤워헤드는 뒷벽(112), 스커트 또는 측벽(114), 전방판(115), 및 공정 가스가 도입되는 중앙의 유입구(116)를 갖춘 알루미늄 컵(110)을 포함한다. 알루미늄 전방판(115)에는 일련의 개구부들이 구비되어 있는데, 이들을 통해 가스가 샤워헤드로부터 플라즈마 처리 챔버 내로 흐른다. 알루미늄 전방판(115)에 형성된 개구부(117)들과 정렬하여 형성된 일련의 개구부(122)를 갖는 질화 알루미늄 세라믹 디스크(120)는 샤워헤드의 전방면을 형성하며, 이를 통해서 공정 가스가 처리 챔버 내로 배출된다. 세라믹 디스크(120)는 칼라(124) 및 슬리이브(126)에 의해서 알루미늄 컵(110)에 고정된다. 질화 알루미늄 슬리이브(126)는 측벽(114)의 길이 보다 큰 길이를 가지며, 이에 의해, 알루미늄 측벽(114)이 처리챔버 내에서 플라즈마에 의해서 발생된 화학종들로부터 보호된다.
칼라(124)와 슬리이드(126)는 슬리이브(126)의 내부면상에 형성된 환형 그루브(128)에 의해서 디스크(120)에 대향하여 고정된다. 환형 그루브(128)에는 전술된 서셉터(10)에 대한 칼라(24)와 스커트(26)의 부착과 유사하게 스냅링(40)이 수용된다. 따라서, 알루미늄 뒷벽(112)의 외면을 보호하는 것은 세라믹 디스크(120)에 형성된 개구부(122)들을 통한 가스들을 하향 흐름의 견지에서 볼 때 불필요한 것으로 여겨진다. 그러나, 슬리이브(126)의 내경보다 약간 작은 외경(OD)을 갖는 세라믹 디스크(도시되지 않음)는 알루미늄 서셉터(10)의 후면을 보호하기 위해서 세라믹 디스크(30)를 사용하는 것과 유사하게 뒷벽(112)의 외면을 보호하는데 사용된다.
제15도에는 샤워헤드 보호의 또다른 실시예가 도시되어 있다. 여기에서, 세라믹 디스크(120), 칼라(124), 및 슬리이브(126)는 단일의 질화 알루미늄 요소(150)내로 통합된다. 이에 의해, 제14도의 실시예에서 디스크(120)와 칼라(124)사이의 접합선이나 이음매가 제거된다. 세라믹 요소(150)는 개구부(154)가 형성된 전방면(152), 및 측벽(114)보다 큰 길이를 갖는 측벽(156)을 갖추고 있으며, 이에 의해 알루미늄 측벽(114)이 차폐된다.
제15도의 실시예에서 볼 수 있는 바와 같이, 세라믹 보호 요소(150)를 알루미늄 컵(110)의 측벽(114)에 고정시키기 위한 다른 고정 수단이 제공된다. 이 실시예에서, 세라믹 볼트(160)를 수용하기 위해서 요소(150)의 세라믹 측벽(156)에 개구부(158)들이 제공된다. 세라믹 볼트(160)는 알루미늄 컵(110)의 측벽(114)에 형성된 나사식 보어(18)내로 수용된다. 제14도에 도시된 슬롯과 스냅링 고정부 또는 제15도에 도시된 세라믹 볼트 고정부는 제14도 및 15도에 도시된 실시예 중 어느 하나와 호환적으로 사용될 수 있다.
제16도에는 제1도에 도시된 바와같이 세라믹으로 보호된 알루미늄서셉터를 포함하는 플라즈마 처리 챔버(200) 및 제14도에 도시된 세라믹으로 보호된 샤워헤드가 도시되어 있다. 여기에서, 알루미늄 서셉터와 샤워헤드의 알루미늄 부분은 외부 RF 전원(202)에 접속되어 있다. 할로겐 함유가스, 예를 들어 불소나 염소 함유 가스와 같은 반응성 가스가 샤워헤드를 통해서 챔버(200)내로 흐르고, 플라즈마가 챔버(200)내의 2개의 전극(서셉터와 샤워헤드) 사이에서 발생되는 경우에, 샤워헤드를 통해서 흐르는 가스들로부터 플라즈마 중의 반응성 가스종들이 형성된다. 플라즈마를 향하고 있는 샤워헤드의 알루미늄 표면과 서셉터의 알루미늄 표면 위에 비결합된 질화 알루미늄 세라믹 재료가 존재함으로써, 이와 같은 알루미늄 전극 표면들이 플라즈마 구성성분에 의한 공격 및 부식으로부터 보호된다.
본 발명의 실시를 추가로 설명하기 위해서, 1㎠의 산화피막된 알루미늄 시편을 보호 덮개로서 약 0.51mm(0.2inch)의 두께를 갖는 질화 알루미늄 재료로 덮는다. 보호된 알루미늄 샘플을 플라즈마 강화된 화학적 증착(PECVD) 챔버 내에서, 600sccm의 O2, 600sccm의 He, 600sccm의 C2F6및 45sccm의 NF3를 챔버내로 도입시켜서 형성된 불소 함유 플라즈마에 500시간(약 20,000개 웨이퍼를 처리하는데 걸리는 시간과 동일)동안 노출시킨다. 이때, 압력은 약 4토르에서 유지시키고, 시험기간 동안에 약 1000와트의 전력 수준으로 유지되는 플라즈마를 점화시킨다. 시험이 끝난후에, 시편상의 질화 앙루미늄 재료 아래의 산화 피막된 알루미늄 표면을 부식 정도에 대해 조사하였으며, 이때부식된 양은 보호되지 않은 산화 피막된 알루미늄 표면에 비해서 크게 줄어든 것으로 밝혀졌다.
상기 방식으로 알루미늄 샤워헤드 전극을 덮는 경우에, 유사한 결과가 얻어진다. 서셉터와 샤워헤드 전극에 대한 덮개 재료로서 질화 알루미늄 대신에 다결정성 산화 알루미늄(사파이어), 불화 마그네슘 및 산화 마그네슘을 사용하는 경우에도 유사한 결과를 얻을 수 있다.
200mm 직경의 웨이퍼들은 TEOS와 O2를 사용하여 연속적으로 산화실리콘 증착시킨다. 그후, 각각의 웨이퍼를 회수하여, 웨이퍼상의 산화 실리콘 코팅층을 검사한다. 챔버내의 알루미늄 표면의 세라믹 보호층은 웨이퍼상의 산화 실리콘의 만족스러운 증착을 방해하지 않는 것으로 밝혀졌다. 질화 실리콘을 웨이퍼 상에 증착시키고(SiH4, NH3, N2가스를 사용)동일한 챔버 세척 과정을 수행하는 경우, 동일한 결과들이 얻어진다.
그러므로, 본 발명에 따라, 플라즈마 처리 챔버내에서 가열된 금속 표면들, 특히 가열된 알루미늄 금속 전극들이 상기 챔버내의 플라즈마에 의해서 발생된 가스종들에 의한 공격으로부터 보호된다.

Claims (33)

  1. 내부에서 플라즈마를 발생시킬 수 있고 가스종들이 금속면을 손상시킬 수 있는 플라즈마 처리 챔버로서, 상기 플라즈마 처리 챔버가 상기 플라즈마 처리 챔버내에서 반도체 기판을 처리하기 위해 상기 반도체 기판을 장착할 수 있는 금속 서셉터를 갖추고 있으며, 상기 금속 서셉터는,
    (a) 상기 반도체 기판을 수용할 수 있고, 제1금속면과 측벽면을 갖춘 금속 서셉터 부재와,
    (b) 양호한 유전적 특성, 양호한 열전도성, 및 양호한 내열충격성을 갖는 세라믹 재료로 구성된 단일 쉘로서, 상기 플라즈마에 의해 발생된 가스종들로부터 상기 금속 서셉터 부재를 보호하기 위해 상기 금속 서셉터 부재의 제1금속면 및 측벽면과 접촉하는 단일 쉘과, 그리고
    (c) 상기 세라믹 재료를 상기 금속 서셉터 부재에 고정시키기 위한 고정 수단을 포함하고 있으며, 상기 세라믹 재료가 상기 금속 서셉터 부재의 상기 금속면 상에 비결합 상태로 고정되어, 상기 금속면과의 열적 불일치로 인한 상기 세라믹 재료의 균열이 방지되는 한편, 상기 금속면이 상기 플라즈마에 의해 발생된 상기 가스종들의 공격으로부터 보호되는 플라즈마 처리 챔버.
  2. 제1항에 있어서, 상기 세라믹 재료에 의해 보호되는 상기 금속면이 산화 피막된 알루미늄 표면을 포함하는 플라즈마 처리 챔버.
  3. 제1항에 있어서, 상기 세라믹 재료에 의해 보호되는 상기 금속면이 노출된 알루미늄 표면을 포함하는 플라즈마 처리 챔버.
  4. 제1항에 있어서, 금속면을 갖춘 금속 샤워헤드와, 상기 금속 샤워헤드의 금속면과 상기 플라즈마 사이에서 고정될 수 있는 보호성 세라믹 표면을 갖춘 세라믹 부재를 더 포함하고 있으며, 상기 금속면이 제1금속면과 측벽 금속면을 갖추고 있으며, 상기 세라믹 부재가 상기 금속 샤워헤드의 상기 금속면과 비결합 상태로 고정되어서, 상기 금속 샤워헤드의 상기 제1금속면과 상기 측벽 금속면이 상기 플라즈마 중의 가스종들에 의한 공격으로부터 보호되는 한편, 상기 금속 샤워헤드 상의 상기 금속면과 상기 보호성 세라믹 표현 사이의 열팽창율의 불일치로 인한 상기 보호성 세라믹 표면의 손상이 완화되는 플라즈마 처리 챔버.
  5. 내부에서 플라즈마를 발생시킬 수 있고 가스종들이 금속면을 손상시킬 수 있는 플라즈마 처리 챔버로서, 상기 플라즈마 처리 챔버가 상기 플라즈마 처리 챔버내에서 반도체 기판을 처리하기 위해 상기 반도체 기판을 장착할 수 있는 금속 서셉터를 갖추고 있으며, 상기 금속 서셉터는,
    (a) 상기 반도체를 기판을 수용할 수 있고, 제1금속면과 측벽면을 갖춘 금속 서셉터 부재와,
    (b) 상기 플라즈마에 의해 발생된 가스종들로부터 상기 금속 서셉터 부재를 보호하기 위해 상기 금속 서셉터 부재의 제1금속면 및 측벽면과 접촉하는 세라믹 재료로 구서된 단일 쉘과, 그리고
    (c) 상기 세라믹 재료를 상기 금속 서셉터 부재에 고정시키기 위한 고정 수단을 포함하고 있으며, 상기 금속 서셉터 상의 세라믹 재료가 질화 알루미늄, 결정성 산화 알루미늄, 불화 마그네슘, 및 소결된 산화 알루미늄으로 이루어진 그룹으로 부터 선택되며, 상기 세라믹 재료가 상기 금속 서셉터 부재의 상기 금속면상에 비결합 상태로 고정되어서, 상기 금속면이 상기 플라즈마에 의해 발생된 가스종들의 공격으로부터 보호되는 한편, 상기 금속면과의 열팽창율의 불일치로 인한 균열이 방지되는 플라즈마 처리 챔버.
  6. 내부에서 플라즈마를 발생시킬 수 있고 가스종들이 금속면을 손상시킬 수있는 플라즈마 처리 챔버로서, 상기 플라즈마 처리 챔버가 상기 플라즈마 처리 챔버내에서 반도체 기판을 처리하기 위해 상기 반도체 기판을 장착할 수 있는 금속 서셉터와, 상기 챔버내로 공정 가스를 도입하기 위한 금속 샤워헤드를 갖추고 있으며,
    (a) 상기 금속 서셉터가,
    (ⅰ) 상기 금속 샤워헤드를 향하는 제1금속면과 그 위에 측벽면을 갖춘 금속 서셉터 부재와,
    (ⅱ) 양호한 유전적 특성, 양호한 열전도성, 및 양호한 내열충격성을 갖는 세라믹 재료로 구성된 단일 쉘로서, 상기 플라즈마에 의해 발생된 가스종들로부터 상기 금속 서셉터 부재를 보호하기 위해 상기 금속 서셉터 부재의 제1금속면 및 측벽면과 접촉하는 단일 쉘과, 그리고
    (ⅲ) 상기 세라믹 재료를 상기 금속 서셉터 부재에 고정시키기 위한 고정수단을 포함하고 있으며,
    (b) 상기 금속 샤워헤드가,
    (ⅰ) 상기 금속 서셉터를 향하는 제1금속면과, (ⅱ) 측벽면과, 그리고 (ⅲ) 양호한 유전적 특성, 양호한 열전도성, 및 양호한 내열충격성을 가지고 있고 상기 금속 샤워헤드의 상기 제1금속면 및 측벽면 상에 고정되는 세라믹 재료로 구성된 세라믹 보호층을 포함하고 있으며, 상기 세라믹 보호층이 상기 금속 서셉터 부재와 상기 금속 샤워헤드의 상기 금속면 상에 비결합 상태로 고정되어서 상기 금속면들이 상기 플라즈마에 의해 발생된 가스종들의 공격으로부터 보호되는 한편, 상기 금속면과의 열팽창율의 불일치로 인한 균열이 방지되는 플라즈마 처리 챔버.
  7. 내부에서 플라즈마를 발생시킬 수 있고 가스종들이 금속면을 손상시킬 수 있는 플라즈마 처리 챔버로서, 상기 플라즈마 처리 챔버가 상기 플라즈마 처리 챔버내에서 반도체 기판을 처리하기 위해 상기 반도체 기판을 장착할 수 있는 금속 서셉터와, 상기 챔버내로 공정 가스를 도입하기 위한 금속 샤워헤드를 갖추고 있으며,
    (a) 상기 금속 서셉터가,
    (ⅰ) 상기 금속 샤워헤드를 향하는 제1금속면과 측벽면을 갖는 금속 서셉터 부재와,
    (ⅱ) 상기 플라즈마에 의해 발생된 가스종들로부터 상기 금속 서셉터부재를 보호하기 위해 상기 금속 서셉터 부재의 제1표면 및 측벽면과 접촉하는 세라믹 재료로 구성된 단일 쉘과, 그리고
    (ⅲ) 상기 세라믹 재료를 상기 금속 서셉터 부재에 고정시키기 위한 고정 수단을 포함하고 있으며,
    (b) 상기 금속 샤워헤드가,
    (ⅰ) 상기 금속 서셉ㅌ를 향하는 제1금속면과,
    (ⅱ) 측벽면과, 그리고
    (ⅲ) 상기 금속 샤워헤드의 상기 제1금속면 및 측벽면 상에 고정되는 세라믹 재료로 구성된 보호층을 포함하고 있으며, 상기 금속 서셉터 상의 세라믹 재료가 질화 알루미늄, 결정성 산화알루미늄, 불화 마그네슘, 및 소결된 산화 알루미늄으로 이루어진 그룹으로 부터 선택되며, 상기 세라믹 재료가 상기 금속 서셉터 부재의 상기 금속면상에 비결합 상태로 고정되어서 상기 금속면이 상기 플라즈마에 의해 발생된 가스종들로부터의 공격으로부터 보호되는 한편, 상기 금속면과의 열적 불일치로 인한 균열이 방지되는 플라즈마 처리 챔버.
  8. 내부에 금속 서셉터를 갖추고 있는 세라믹 표면이 상기 금속 서셉터와 플라즈마 사이에 상기 금속 서셉터와 비결합 상태로 형성되어 있어서 내부에서 발생된 상기 플라즈마에 의해 발생된 가스종들의 공격으로 부터 상기 금속 서셉터가 보호되는 플라즈마 처리 챔버로서,
    (a) 상기 금속 서셉터와 상기 플라즈마 사이에서 상기 금속 서셉터의 제1금속면 사에 설치된 제1세라믹 요소와,
    (b) 상기 제1세라믹 요소의 노출된 면과 둘레가 접촉하고 있는 제2세라믹요소와,
    (c) 상기 제2세라믹 요소에 현가된 상기 금속 서셉터의 측벽면을 초과하여 연장하는 제3세라믹 요소와, 그리고
    (d) 상기 제2세라믹 요소를 상기 제1세라믹 요소에 대항하여 가압하고 상기 제1세라믹 요소를 상기 금속 서셉터에 대항하여 가압하는 고정수단을 포함하고 있으며, 상기 플라즈마에 의해 발생된 상기 가스종들이 상기 금속 서셉터 표면과 접촉하는 것이 방지되고, 상기 금속 서셉터와 상기 세라믹 요소들 사이의 열팽창율의 불일치로 인한 상기 세라믹 요소들의 손상이 완화되는 플라즈마 처리 챔버.
  9. 제8항에 있어서, 상기 세라믹 요소가 양호한 유전적 특성, 양호한 열전도성, 및 양호한 내열충격성을 갖는 플라즈마 처리 챔버.
  10. 내부에서 플라즈마를 발생시킬 수 있고 가스종들이 금속면을 손상시킬 수 있는 플라즈마 처리 챔버로서, 상기 플라즈마 처리 챔버가 상기 반도체 기판을 장착할 수 있는 금속 서셉터와, 상기 플라즈마 처리 챔버내로 공정 가스를 도입하기 위한 금속 샤워헤드를 갖추고 있으며, 상기 금속 서셉터가 상기 금속 샤워헤드를 향하는 제1표면을 갖춘 세라믹 기판 지지 요소와 측벽면을 갖추고 있고, 상기 금속 샤워헤드가 상기 금속 서셉터를 향하는 제1표면과 측벽면을 갖추고 있으며, 그리고 상기 플라즈마 처리 챔버는 세라믹 재료와, 상기 가스종들이 상기 표면에 접촉하는 것을 방지하기 위해 상기 세라믹 재료를 상기 서셉터와 상기 금속 샤워헤드의 각각의 표면상에 비결합 상태로 고정시큰 고정 수단을 갖추고 있는 플라즈마 처리 챔버.
  11. 제10항에 있어서, 하나 이상의 상기 금속 서셉터와 금속 샤워헤드가 알루미늄으로 구성되어 있는 플라즈마 처리 챔버.
  12. 제10항에 있어서, 상기 세라믹 재료가 질화 알루미늄, 결정성 산화 알루미늄, 불화 마그네슘, 및 소결된 산화 알루미늄으로 이루어진 그룹으로부터 선택되는 플라즈마 처리 챔버.
  13. 제10항에 있어서, 상기 세라믹 재료의 보호층은 상기 세라믹 기판 지지 요소의 일부분과 둘레가 접촉하는 제1부분을 갖춘 세라믹 칼라를 포함하고 있으며, 상기 세라믹 칼라가 상기 제1부분에 현가된 상기 서셉터의 상기 측벽면을 넘어서 연장하는 세라믹 스커트를 갖추고 있어서, 상기 플라즈마 처리 챔버에 의해 발생된 상기 가스종들이 상기 서셉터 표면과 접촉하는 것이 방지되는 플라즈마 처리 챔버.
  14. 제13항에 있어서, 상기 고정 수단은 상기 세라믹 기판 지지 요소에 대항하여 상기 세라믹 칼라의 일부분을 가압하고 또한 상기 세라믹 기판 지지 요소를 상기 금속 서셉터에 대항하여 가압하는 가압 수단을 포함하고 있는 플라즈마 처리 챔버.
  15. 제14항에 있어서, 상기 고정 수단은 상기 서셉터의 두께를 초과하여 상기 칼라의 상기 제1부분으로부터 이격되어 있는 상기 현가된 스커트의 내면 내에 형성된 환형 그루브와, 상기 세라믹 기판 지지 요소에 대항하여 상기 칼라의 상기 제1부분을 가압하기 위해 상기 서셉터의 대향면과 접촉하는 상기 환형 그루브 내에 수용되어 있는 유지 수단을 포함하고 있는 플라즈마 처리 챔버.
  16. 제14항에 있어서, 상기 세라믹 재료층이 상기 현가된 스커트의 내경과 거의 동일한 직경을 갖는 세라믹 디스크를 포함하고 있으며, 상기 세라믹 디스크가 상기 금속 샤워헤드로부터 멀어지는 방향으로 상기 금속 서셉터의 배면에 대항하여 위치된 한 표면을 갖추고 있으며, 상기 고정 수단이 상기 금속 서셉터에 대항하여 상기 세라믹 디스크를 유지하고 있는 플라즈마 처리 챔버.
  17. 제16항에 있어서, 상기 고정 수단이,
    (a) 상기 금속 서셉터와 상기 세라믹 디스크의 조합된 두께를 초과하여 상기 칼라의 상기 제1부분으로부터 이격되어 있는 상기 현가된 스커트의 내면에 형성된 환형 그루브와,
    (b) 상기 세라믹 기판 지지 요소에 대항하여상기 칼라의 상기 제1부분을 가압하고 상기 금속 서셉터에 대항하여 상기 세라믹 기판 지지요소를 가압하기 위해 상기 금속 서셉터의 대향면에 대향하는 상기 그루브내에 수용되어 있는 유지 수단을 포함하고 있는 플라즈마 처리 챔버.
  18. 제16항에 있어서, 상기 고정 수단이,
    (a) 상기 금속 서셉터와 상기 세라믹 디스크의 조합된 두께를 초과하여 상기 칼라의 상기 제1부분으로부터 소정의 거리에서 상기 현가된 스커트의 내면에 형성된 환형 그루브와,
    (b) 상기 세라믹 디스크 둘레부 상에 형성된 하나 이상의 탭들과, 그리고
    (c) 상기 환형 그루브와 연통하는 상기 현가된 스커트의 바닥면에 제공된 하나 이상의 슬롯들로서, 상기 탭들이 상기 슬롯들내에 수용되어 상기 환형 그루브 내에서 회전되도록 상기 세라믹 디스크 상에 형성된 상기 탭들과 정렬하고 있는, 하나 이상의 슬롯들을 포함하고 있으며, 상기 칼라의 상기 제1부분이 상기 세라믹 기판 지지 요소에 대항하여 유지되고, 상기 금속 서셉터에 대항하여 상기 세라믹 기판 지지 요소가 유지되는 한편, 상기 세라믹 디스크가 상기 금속 서셉터에 대항하여 유지되는 플라즈마 처리 챔버.
  19. 제16항에 있어서, 상기 고정 수단이,
    (a) 상기 현가된 스커트의 단부 에지부에 인접한 상기 현가된 스커트의 내면 상에 형성된 암 나사와,
    (b) 상기 나사형 세라믹 디스크가 상기 현가된 스커트 내로 나사결합되도록 상기 세라믹 디스크의 외부 에지부 상에 형성된 수 나사를 포함하고 있는 플라즈마 처리 챔버.
  20. 내부에서 플라즈마를 발생시킬 수 있고 가스종들이 금속면을 손상시킬 수 있는 플라즈마 처리 챔버로서, 상기 플라즈마 처리 챔버가 상기 반도체 기판을 장착할 수 있는 금속서셉터와, 상기 플라즈마 처리 챔버내로 공정 가스를 도입하기 위한 금속 샤워헤드를 갖추고 있으며, 상기 금속 서셉터가,
    (ⅰ) 상기 금속 샤워헤드를 향하는 제1금속면과 측벽면을 갖춘 금속 서셉터 몸체와,
    (ⅱ) 상기 플라즈마에 의해 형성된 가스종들로부터 상기 서셉터의 표면들을 보호하기 위해 상기금속 서셉터 몸체의 상기 제1금속면 및 측벽면과 접촉하는 세라믹 재료로 구성된 세라믹층과, 그리고
    (ⅲ) 상기 세라믹층과 결합되는 제1고정부와, 상기 세라믹층을 상기 금속 서셉터 몸체에 고정시키기 위해 상기 제1고정부와 상기 금속 서셉터 몸체를 작동가능하게 연결시키는 제2고정부를 포함하는 세라믹층 유지부를 포함하고 있으며, 상기 세라믹 재료가 상기 금속 서셉터 몸체의 상기 제1금속면 및 측벽면 상에 비결합 상태로 고정되어서, 상기 금속 서셉터 몸체가 상기 플라즈마에 의해 발생된 가스종의 공격으로부터 보호되는 한편, 상기 금속 서셉터 몸체와의 열팽창율로 인한 균열이 방지되는 플라즈마 처리 챔버.
  21. 제20항에 있어서, 상기 제1고정부가 상기 세라믹 재료내에 형성된 하나 이상의 환형 그루브와, 상기 세라믹층을 통해 형성된 보어와, 그리고 상기 세라믹층 상에 형성된 나사들을 포함하고 있는 플라즈마 처리 챔버.
  22. 제20항에 있어서, 상기 제2고정부가 하나 이상의 나사형 고정 부재와, 스냅링과, 그리고 탭형 디스크를 포함하고 있는 플라즈마 처리 챔버.
  23. 제20항에 있어서, 상기 금속 샤워헤드가,
    (ⅰ) 가스 분배 영역과 상기 가스 분배 영역을 둘러 싸고 있는 측벽 영역을 갖춘 샤워헤드 금속 물체와,
    (ⅱ) 상기 가스 분배 영역과 상기 측벽 영역 상에 고정된 세라믹 재료 보호층을 포함하고 있는 플라즈마 처리 챔버.
  24. 제23항에 있어서, 상기 금속 샤워헤드가 보호층 고정 조립체를 포함하고 있으며, 상기 보호층 고정 조립체는, 상기 보호층과 결합되는 제1보호층 고정부와, 상기 보호층을 상기 샤워헤드 금속 몸체에 고정시키기 위해 상기 보호층 고정부와 상기 분배 요소 금속 몸체를 작동가능하게 연결시키는 제2보호층 고정부를 포함하고 있는 플라즈마 처리 챔버.
  25. 제24항에 있어서, 상기 제1보호층 고정부가 상기 보호층내에 형성된 하나 이상의 환형 그루브와, 상기 보호층을 통해 형성된 보어와, 그리고 상기 보호층 상에 형성된 나사들을 포함하고 있는 플라즈마 처리 챔버.
  26. 제24항에 있어서, 상기 제1보호층 고정부가 나사형 고정 부재와 스냅링 중 적어도 하나를 포함하고 있는 플라즈마 처리 챔버.
  27. 제23항에 있어서, 상기 샤워헤드 금속 몸체들이 알루미늄으로 구성되고, 상기 세라믹 재료가 필수적인 질화 알루미늄, 결정성 산화알루미늄, 불화마그네슘, 및 소결된 산화 알루미늄으로 이루어진 그룹으로 부터 선택되는 플라즈마 처리 챔버.
  28. 제23항에 있어서, 상기 금속 서셉터 몸체가 상기 금속 샤워헤드로부터 멀어지는 방향을 향하는 대향면을 갖추고 있으며, 상기 대향면은 상기 서셉터 측벽에 인접하는 상기 세라믹층의 내면에 인접될 수 있는 크기를 갖는 세라믹 디스크에 의해 보호되며, 상기 금속 서셉터 몸체는 상기 서셉터의 대향면에 대항하여 상기 세라믹 디스크를 가압하는 유지 수단을 포함하고 있는 플라즈마 처리 챔버.
  29. 노출 표면을 갖추고 있고 처리된 반도체 기판을 지지하는 금속 서셉터 전극을 수용하고 있는 플라즈마 처리 챔버내에서 반도체 기판을 처리하는 방법으로서, 상기 세라믹 표면이 상기 노출된 서셉터 전극 표면과의 열팽창율의 불일치로 인해 균열되는 것을 방지하고 플라즈마에 의해 발생된 가스종들의 공격으로부터 상기 노출된 서셉터 전극 표면을 보호하기 위해 상기 노출된 서셉터 전극 표면에 제거가능한 세라믹 재료의 보호층을 비결합 상태로 덮는 단계와, 상기 세라믹 재료의 보호층을 상기 금속 서셉터 전극에 비결합 상태로 고정시키는 단계를 포함하며, 상기한 단계들에 의해, 상기 플라즈마에 의해 발생된 상기 가스종들이 상기 노출된 서셉터 전극 표면과 접촉하는 것이 방지되는 방법.
  30. 제29항에 있어서, 세라믹 디스크가 상기 서셉터 전극의 금속 기판 지지면을 덮을 수 있는 크기를 갖도록 하고, 세라믹 칼라가 상기 세라믹 디스크의 노출면과 둘레에서 접촉하는 제1부분을 갖추고,상기 세라믹 칼라의 상기 제1부분이 상기 금속 서셉터의 금속 측벽면을 초과하여 연장하도록 현가되어 있는 세라믹 스커트를 갖춘 형태를 갖도록 상기 제거 가능한 세라믹 재료를 선택하는 단계를 더 포함하는방법.
  31. 제29항에 있어서, 상기 보호층을 덮는 단계는 세라믹 재료의 제거가능한 보호층이 상기 금속 샤워헤드 전극의 상기 노출된 표면 상에 비결합 상태로 덮혀지도록 수행되는 방법.
  32. 제29항에 있어서, 상기 세라믹 재료를 질화 알루미늄, 결정성 산화 알루미늄, 불화 마그네슘, 및 소결된 산화 알루미늄으로 이루어진 그룹으로부터 선택하는 단계를 더 포함하는 방법.
  33. 제29항에 있어서, 상기 전극들이 필수적으로 알루미늄으로 이루어진 그룹으로부터 제조되도록 재료를 선택하는 단계를 더 포함하는 방법.
KR1019950005314A 1994-03-15 1995-03-15 플라즈마 처리챔버의 가열된 금속 표면들을 화학적으로 공격성인 기체상의 종들로 부터 보호하기 위한 방법 및 그에 사용되는 세라믹 보호층(ceramic protection for heated metal surface of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surface) KR100242897B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/213880 1994-03-15
US08/213,880 US5680013A (en) 1994-03-15 1994-03-15 Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US08/213,880 1994-03-15

Publications (2)

Publication Number Publication Date
KR950032709A KR950032709A (ko) 1995-12-22
KR100242897B1 true KR100242897B1 (ko) 2000-03-02

Family

ID=22796865

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950005314A KR100242897B1 (ko) 1994-03-15 1995-03-15 플라즈마 처리챔버의 가열된 금속 표면들을 화학적으로 공격성인 기체상의 종들로 부터 보호하기 위한 방법 및 그에 사용되는 세라믹 보호층(ceramic protection for heated metal surface of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surface)

Country Status (5)

Country Link
US (2) US5680013A (ko)
EP (1) EP0673056B1 (ko)
JP (1) JPH0871408A (ko)
KR (1) KR100242897B1 (ko)
DE (1) DE69501222T2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100650926B1 (ko) 2004-10-13 2006-11-29 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100789453B1 (ko) * 2001-07-30 2008-01-02 엘지.필립스 엘시디 주식회사 플라즈마 화학기상증착장비의 프로세스 챔버
KR101221925B1 (ko) * 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
KR20170052318A (ko) * 2015-11-04 2017-05-12 (주)포인트엔지니어링 서셉터 및 이를 포함하는 진공챔버

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JP2813154B2 (ja) * 1994-06-30 1998-10-22 日本碍子株式会社 プラズマ発生電極装置およびプラズマ発生装置
JP2933508B2 (ja) * 1995-05-25 1999-08-16 忠弘 大見 プラズマ処理装置
JPH0925586A (ja) * 1995-07-11 1997-01-28 Anelva Corp 基板処理装置および基板処理方法
EP0757023B1 (en) * 1995-08-03 2000-10-18 Ngk Insulators, Ltd. Aluminum nitride sintered bodies and their production method
JPH0992641A (ja) * 1995-09-22 1997-04-04 Mitsubishi Electric Corp プラズマエッチング装置
JP4925681B2 (ja) * 1995-12-28 2012-05-09 京セラ株式会社 耐食性部材
JP2901907B2 (ja) * 1996-01-10 1999-06-07 アプライド マテリアルズ インコーポレイテッド プロセスチャンバウィンドウ
JP3444090B2 (ja) * 1996-04-22 2003-09-08 日清紡績株式会社 プラズマ処理装置用保護部材
JP3019002B2 (ja) * 1996-09-20 2000-03-13 日本電気株式会社 ドライエッチング装置及びドライエッチング方法
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3077623B2 (ja) * 1997-04-02 2000-08-14 日本電気株式会社 プラズマ化学気相成長装置
JP3362113B2 (ja) * 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
KR100397860B1 (ko) * 1997-09-22 2003-12-18 카가쿠기쥬쯔죠 킨조쿠자이료 기쥬쯔켄큐죠 반응성이온에칭법및그장치
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4641569B2 (ja) * 1998-07-24 2011-03-02 日本碍子株式会社 窒化アルミニウム質焼結体、耐蝕性部材、金属埋設および半導体保持装置
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6379492B2 (en) * 1998-10-31 2002-04-30 Applied Materials, Inc. Corrosion resistant coating
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6139696A (en) 1999-10-25 2000-10-31 Motorola, Inc. Method and apparatus for forming a layer on a substrate
JP2001155899A (ja) * 1999-11-25 2001-06-08 Tadahiro Omi プラズマプロセス装置およびプラズマ装置を用いたプロセス
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
JP3654142B2 (ja) * 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
DE20005365U1 (de) 2000-03-23 2000-11-23 VenTec Gesellschaft für Venturekapital und Unternehmensberatung, 57078 Siegen Elektrodenanordnung für Plasmaätzanlagen hoher Leistung
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
KR100638916B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 및 그 유지 보수 방법
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US7128804B2 (en) 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
KR100564168B1 (ko) * 2001-01-22 2006-03-27 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
FR2824821B1 (fr) * 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
ATE280437T1 (de) * 2001-05-31 2004-11-15 Cit Alcatel Abnehmbare schirmvorrichtung für plasmareaktoren
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US20030015505A1 (en) * 2001-07-19 2003-01-23 Skion Corporation Apparatus and method for sterilization of articles using capillary discharge atmospheric plasma
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
FR2834712B1 (fr) * 2002-01-14 2004-12-17 Essilor Int Procede de traitement d'un verre ophtalmique
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR101016913B1 (ko) 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
DE10322696B3 (de) * 2003-05-20 2005-01-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur plasmagestützten Behandlung von vorgebbaren Oberflächenbereichen eines Substrates
DE112004000057B4 (de) * 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
FR2856056B1 (fr) * 2003-06-13 2009-07-03 Essilor Int Procede de traitement d'un verre apte au debordage.
KR20040107983A (ko) * 2003-06-16 2004-12-23 삼성전자주식회사 반도체 제조 장치
KR100534209B1 (ko) * 2003-07-29 2005-12-08 삼성전자주식회사 반도체소자 제조용 화학기상증착 공정설비
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
FR2860306B1 (fr) * 2003-09-26 2006-09-01 Essilor Int Lentille ophtalmique recouverte d'un film electrostatique et procede de debordage d'une telle lentille
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
DE102004029959B4 (de) * 2004-06-21 2010-08-19 Infineon Technologies Ag Gasdurchlässige Plasmaelektrode, Verfahren zum Herstellen der gasdurchlässigen Plasmaelektrode und Parallelplatten-Reaktor
JP2006049544A (ja) * 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
DE102005001651A1 (de) * 2005-01-10 2006-07-20 Infineon Technologies Ag Ätzanlage
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2006045059A (ja) * 2005-09-05 2006-02-16 Ngk Insulators Ltd 窒化アルミニウム質焼結体、耐蝕性部材、金属埋設品および半導体保持装置
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070141358A1 (en) 2005-12-19 2007-06-21 Essilor International Compagnie Generale D'optique Method for improving the edging of an optical article by providing a temporary layer of an organic material
DE102006013801A1 (de) * 2006-03-24 2007-09-27 Aixtron Ag Gaseinlassorgan mit gelochter Isolationsplatte
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
KR101098858B1 (ko) * 2006-05-15 2011-12-26 울박, 인크 클리닝 방법 및 진공 처리 장치
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5474291B2 (ja) 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
CN101499407B (zh) * 2008-02-02 2010-07-28 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
US10155233B2 (en) * 2008-04-09 2018-12-18 Carlisle Fluid Technologies, Inc. Splash plate retention method and apparatus
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
WO2009153856A1 (ja) * 2008-06-17 2009-12-23 キヤノンアネルバ株式会社 防着カバー付きキャリアおよび防着カバー着脱装置
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110120651A1 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Showerhead assembly with improved impact protection
JP5766495B2 (ja) * 2010-05-18 2015-08-19 株式会社日立ハイテクノロジーズ 熱処理装置
JP2012004160A (ja) * 2010-06-14 2012-01-05 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8188575B2 (en) * 2010-10-05 2012-05-29 Skyworks Solutions, Inc. Apparatus and method for uniform metal plating
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP2012222157A (ja) * 2011-04-08 2012-11-12 Hitachi Kokusai Electric Inc 基板処理装置、及び、太陽電池の製造方法
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103903946B (zh) * 2012-12-26 2017-11-17 中微半导体设备(上海)有限公司 一种用于等离子反应器的气体喷淋头
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP6422262B2 (ja) * 2013-10-24 2018-11-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
JP6262164B2 (ja) * 2015-02-20 2018-01-17 三菱重工工作機械株式会社 常温接合方法
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR101931692B1 (ko) * 2017-10-11 2018-12-21 주식회사 유진테크 배치식 플라즈마 기판처리장치
KR102204026B1 (ko) * 2018-07-06 2021-01-18 주식회사 케이에스엠컴포넌트 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
JPWO2020208801A1 (ja) * 2019-04-12 2021-05-06 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置の内部部材ならびに当該内部部材の製造方法
KR102686791B1 (ko) * 2019-05-22 2024-07-19 어플라이드 머티어리얼스, 인코포레이티드 고온 부식성 환경을 위한 기판 지지부 커버
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
RU206587U1 (ru) * 2020-09-11 2021-09-16 Общество С Ограниченной Ответственностью "Изовак" Источник ионов для обработки деталей
KR102567507B1 (ko) * 2020-12-31 2023-08-16 세메스 주식회사 기판 처리 장치 및 가스 분배 어셈블리
KR20230082405A (ko) * 2021-12-01 2023-06-08 주식회사 유진테크 리프트핀 프로텍션 어셈블리 및 기판 처리 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252892A (en) * 1989-02-16 1993-10-12 Tokyo Electron Limited Plasma processing apparatus

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3179213A (en) * 1960-03-14 1965-04-20 Eitel Mccullough Inc Dielectric window and method of making it
CH592262A5 (ko) * 1975-07-04 1977-10-14 Bbc Brown Boveri & Cie
GB1574804A (en) * 1976-05-20 1980-09-10 Chloride Silent Power Ltd Metal-to-ceramic seals
JPS5687667A (en) * 1979-12-20 1981-07-16 Toshiba Corp Reactive ion etching method
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS59184527A (ja) * 1983-04-05 1984-10-19 Canon Inc 気相法装置
CH665057A5 (de) * 1984-07-20 1988-04-15 Balzers Hochvakuum Targetplatte fuer kathodenzerstaeubung.
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
JPS62113761A (ja) * 1985-05-20 1987-05-25 東芝セラミツクス株式会社 高強度焼結体およびその製造方法
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US4623417A (en) * 1985-08-23 1986-11-18 Texas Instruments Incorporated Magnetron plasma reactor
JPS6247131A (ja) * 1985-08-27 1987-02-28 Nec Corp 反応性イオンエツチング装置
JPS6376430A (ja) * 1986-09-19 1988-04-06 Fujitsu Ltd プラズマ化学気相成長装置
JPS63251127A (ja) * 1987-04-06 1988-10-18 Ngk Insulators Ltd セラミック製部材と金属製部材の結合方法
DE3912381A1 (de) * 1988-04-15 1989-10-26 Sharp Kk Auffaengereinheit
JPH07118465B2 (ja) * 1988-06-14 1995-12-18 東芝セラミックス株式会社 縦型エピタキシャル装置用サセプター
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
EP0512456B1 (de) * 1991-05-08 1997-06-18 Balzers Aktiengesellschaft Verfahren zur Montage bzw. Demontage einer Targetplatte in einem Vakuumprozessraum, Montageanordnung hierfür sowie Targetplatte bzw. Vakuumkammer
US5236151A (en) * 1991-12-23 1993-08-17 General Electric Company Thermal barrier structure
US5257872A (en) * 1992-05-05 1993-11-02 Hughes Aircraft Company High power waveguide switch and method
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
DE69420774T2 (de) * 1993-05-13 2000-01-13 Applied Materials, Inc. Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252892A (en) * 1989-02-16 1993-10-12 Tokyo Electron Limited Plasma processing apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100789453B1 (ko) * 2001-07-30 2008-01-02 엘지.필립스 엘시디 주식회사 플라즈마 화학기상증착장비의 프로세스 챔버
KR100650926B1 (ko) 2004-10-13 2006-11-29 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR101221925B1 (ko) * 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
KR20170052318A (ko) * 2015-11-04 2017-05-12 (주)포인트엔지니어링 서셉터 및 이를 포함하는 진공챔버
KR102408403B1 (ko) * 2015-11-04 2022-06-14 (주)포인트엔지니어링 서셉터 및 이를 포함하는 진공챔버

Also Published As

Publication number Publication date
US5680013A (en) 1997-10-21
EP0673056A1 (en) 1995-09-20
US5959409A (en) 1999-09-28
DE69501222D1 (de) 1998-01-29
KR950032709A (ko) 1995-12-22
JPH0871408A (ja) 1996-03-19
EP0673056B1 (en) 1997-12-17
DE69501222T2 (de) 1998-07-09

Similar Documents

Publication Publication Date Title
KR100242897B1 (ko) 플라즈마 처리챔버의 가열된 금속 표면들을 화학적으로 공격성인 기체상의 종들로 부터 보호하기 위한 방법 및 그에 사용되는 세라믹 보호층(ceramic protection for heated metal surface of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surface)
US5456757A (en) Susceptor for vapor deposition
KR100270288B1 (ko) 플라즈마-불활성 커버 및 플라즈마 세척 방법 및 이를 이용한 장치
KR100345420B1 (ko) 플라즈마처리장치
KR101141488B1 (ko) 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US6508911B1 (en) Diamond coated parts in a plasma reactor
RU2237314C2 (ru) Камера плазменной обработки и способ обработки полупроводниковой подложки в такой камере
KR100830068B1 (ko) 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법
US5306895A (en) Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
US5366585A (en) Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
JP4331479B2 (ja) 半導体処理装置における高靭性ジルコニアセラミック構成要素とコーティングおよびその製造方法
KR101107542B1 (ko) 플라즈마 반응기용 용사 이트리아 함유 코팅
KR101177333B1 (ko) 반도체 재료 프로세싱 장치의 산화이트륨 (yttria)-코팅 세라믹 부품 및 그 부품을 제조하는 방법
JP2971847B2 (ja) 高温、腐食性、プラズマ環境下でのクリーニングプロセスのための方法及び装置
US20050120962A1 (en) Substrate supporting table, method for producing same, and processing system
US20070107846A1 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US20080190364A1 (en) Substrate support assembly
US5589003A (en) Shielded substrate support for processing chamber
KR20150006405A (ko) 내부식성 적층 세라믹스 부재
US7045014B2 (en) Substrate support assembly
US20050194374A1 (en) Heated ceramic substrate support with protective coating
EP0595054A1 (en) Method for processing semiconductor wafers at temperatures exceeding 400 degrees C.
JPH07263530A (ja) 真空処理装置およびそれに用いる載置台

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee