JPWO2018003072A1 - 基板処理装置、半導体装置の製造方法および記録媒体 - Google Patents

基板処理装置、半導体装置の製造方法および記録媒体 Download PDF

Info

Publication number
JPWO2018003072A1
JPWO2018003072A1 JP2018524671A JP2018524671A JPWO2018003072A1 JP WO2018003072 A1 JPWO2018003072 A1 JP WO2018003072A1 JP 2018524671 A JP2018524671 A JP 2018524671A JP 2018524671 A JP2018524671 A JP 2018524671A JP WO2018003072 A1 JPWO2018003072 A1 JP WO2018003072A1
Authority
JP
Japan
Prior art keywords
processing
transfer chamber
processing module
substrate
box
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018524671A
Other languages
English (en)
Other versions
JP6621921B2 (ja
Inventor
上村 大義
大義 上村
孝志 野上
孝志 野上
谷山 智志
智志 谷山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=60786200&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPWO2018003072(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2018003072A1 publication Critical patent/JPWO2018003072A1/ja
Priority to JP2019215585A priority Critical patent/JP6891252B2/ja
Application granted granted Critical
Publication of JP6621921B2 publication Critical patent/JP6621921B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

[課題] メンテナンスエリアを確保しつつフットプリントを低減させる。[解決手段] 基板を処理する第1の処理容器を有する第1の処理モジュールと、第1の処理容器に隣接して配置され、基板を処理する第2の処理容器を有する第2の処理モジュールと、第1の処理モジュール背面に隣接して配置され、第1の処理容器内を排気する第1の排気系が収納された第1の排気ボックスと、第1の排気ボックスの第1の処理モジュール背面と隣接する側と反対側に隣接して配置され、第1の処理容器内に処理ガスを供給する第1の供給系が収納された第1の供給ボックスと、第2の処理モジュール背面に隣接して配置され、第2の処理容器内を排気する第2の排気系が収納された第2の排気ボックスと、第2の排気ボックスの第2の処理モジュール背面と隣接する側と反対側に隣接して配置され、第2の処理容器内に処理ガスを供給する第2の供給系が収納された第2の供給ボックスと、を備え、第1の排気ボックスは第1の処理モジュール背面における第2の処理モジュール側とは反対側に位置する外側角部に配置され、第2の排気ボックスは第2の処理モジュール背面における第1の処理モジュール側とは反対側に位置する外側角部に配置される。

Description

本発明は、基板処理装置、半導体装置の製造方法および記録媒体に関するものである。
半導体装置(デバイス)の製造工程における基板処理では、例えば、複数枚の基板を一括して処理する縦型基板処理装置が使用されている。基板処理装置のメンテナンスの際には、基板処理装置周辺にメンテナンスエリアを確保する必要があり、メンテナンスエリアを確保するために、基板処理装置のフットプリントが大きくなってしまう場合がある(例えば、特許文献1)。
特開2010−283356号公報
本発明はこのような事情に鑑みてなされたものであり、その目的は、メンテナンスエリアを確保しつつフットプリントを低減させることが可能な技術を提供することにある。
本発明の一態様によれば、
基板を処理する第1の処理容器を有する第1の処理モジュールと、
前記第1の処理容器に隣接して配置され、前記基板を処理する第2の処理容器を有する第2の処理モジュールと、
前記第1の処理モジュール背面に隣接して配置され、前記第1の処理容器内を排気する第1の排気系が収納された第1の排気ボックスと、
前記第1の排気ボックスの前記第1の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第1の処理容器内に処理ガスを供給する第1の供給系が収納された第1の供給ボックスと、
前記第2の処理モジュール背面に隣接して配置され、前記第2の処理容器内を排気する第2の排気系が収納された第2の排気ボックスと、
前記第2の排気ボックスの前記第2の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第2の処理容器内に処理ガスを供給する第2の供給系が収納された第2の供給ボックスと、を備え、
前記第1の排気ボックスは前記第1の処理モジュール背面における前記第2の処理モジュール側とは反対側に位置する外側角部に配置され、前記第2の排気ボックスは前記第2の処理モジュール背面における前記第1の処理モジュール側とは反対側に位置する外側角部に配置される技術が提供される。
本発明によれば、メンテナンスエリアを確保しつつフットプリントを低減させることが可能となる。
本発明の実施形態で好適に用いられる基板処理装置の一例を概略的に示す上面図である。 本発明の実施形態で好適に用いられる基板処理装置の一例を概略的に示す縦断面図である。 本発明の実施形態で好適に用いられる基板処理装置の一例を概略的に示す縦断面図である。 本発明の実施形態で好適に用いられる処理炉の一例を概略的に示す縦断面図である。 本発明の実施形態で好適に用いられる処理モジュールの一例を概略的に示す横断面図である。
以下、図面を参照しながら、本発明の限定的でない例示の実施形態について説明する。全図面中、同一または対応する構成については、同一または対応する参照符号を付し、重複する説明を省略する。また、後述する収納室9側を正面側(前側)、後述する搬送室6A、6B側を背面側(後ろ側)とする。さらに、後述する処理モジュール3A、3Bの境界線(隣接面)に向う側を内側、境界線から離れる側を外側とする。
本実施形態において、基板処理装置は、半導体装置(デバイス)の製造方法における製造工程の一工程として熱処理等の基板処理工程を実施する縦型基板処理装置(以下、処理装置と称する)2として構成されている。
図1、2に示すように、処理装置2は隣接する2つの処理モジュール3A、3Bを備えている。処理モジュール3Aは、処理炉4Aと搬送室6Aにより構成される。処理モジュール3Bは、処理炉4Bと搬送室6Bにより構成される。処理炉4A、4Bの下方には、搬送室6A、6Bがそれぞれ配置されている。搬送室6A、6Bの正面側に隣接して、ウエハWを移載する移載機7を備える移載室8が配置されている。移載室8の正面側には、ウエハWを複数枚収納するポッド(フープ)5を収納する収納室9が連結されている。収納室9の全面にはI/Oポート22が設置され、I/Oポート22を介して処理装置2内外にポッド5が搬入出される。
搬送室6A、6Bと移載室8との境界壁(隣接面)には、ゲートバルブ90A、90Bがそれぞれ設置される。移載室8内および搬送室6A、6B内には圧力検知器がそれぞれに設置されており、移載室8内の圧力は、搬送室6A、6B内の圧力よりも低くなるように設定されている。また、移載室8内および搬送室6A、6B内には酸素濃度検知器がそれぞれに設置されており、移載室8A内および搬送室6A、6B内の酸素濃度は大気中における酸素濃度よりも低く維持されている。移載室8の天井部には、移載室8内にクリーンエアを供給するクリーンユニット62Cが設置されており、移載室8内にクリーンエアとして、例えば、不活性ガスを循環させるように構成されている。移載室8内を不活性ガスにて循環パージすることにより、移載室8内を清浄な雰囲気とすることができる。このような構成により、移載室8内に搬送室6A、6B内のパーティクル等が混入することを抑制することができ、移載室8内および搬送室6A、6B内でウエハW上に自然酸化膜が形成されることを抑制することができる。
処理モジュール3Aおよび処理モジュール3Bは同一の構成を備えるため、以下においては、代表して処理モジュール3Aについてのみ説明する。
図4に示すように、処理炉4Aは、円筒形状の反応管10Aと、反応管10Aの外周に設置された加熱手段(加熱機構)としてのヒータ12Aとを備える。反応管は、例えば石英やSiCにより形成される。反応管10Aの内部には、基板としてのウエハWを処理する処理室14Aが形成される。反応管10Aには、温度検出器としての温度検出部16Aが設置される。温度検出部16Aは、反応管10Aの内壁に沿って立設されている。
基板処理に使用されるガスは、ガス供給系としてのガス供給機構34Aによって処理室14A内に供給される。ガス供給機構34Aが供給するガスは、成膜される膜の種類に応じて換えられる。ここでは、ガス供給機構34Aは、原料ガス供給部、反応ガス供給部および不活性ガス供給部を含む。ガス供給機構34Aは後述する供給ボックス72Aに収納されている。
原料ガス供給部は、ガス供給管36aを備え、ガス供給管36aには、上流方向から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)38aおよび開閉弁であるバルブ40aが設けられている。ガス供給管36aはマニホールド18の側壁を貫通するノズル44aに接続される。ノズル44aは、反応管10内に上下方向に沿って立設し、ボート26に保持されるウエハWに向かって開口する複数の供給孔が形成されている。ノズル44aの供給孔を通してウエハWに対して原料ガスが供給される。
以下、同様の構成にて、反応ガス供給部からは、供給管36b、MFC38b、バルブ40bおよびノズル44bを介して、反応ガスがウエハWに対して供給される。不活性ガス供給部からは、供給管36c、36d、MFC38c、38d、バルブ40c、40dおよびノズル44a、44bを介して、ウエハWに対して不活性ガスが供給される。
反応管10Aの下端開口部には、円筒形のマニホールド18Aが、Oリング等のシール部材を介して連結され、反応管10Aの下端を支持している。マニホールド18Aの下端開口部は円盤状の蓋部22Aによって開閉される。蓋部22Aの上面にはOリング等のシール部材が設置されており、これにより、反応管10A内と外気とが気密にシールされる。蓋部22A上には断熱部24Aが載置される。
マニホールド18Aには、排気管46Aが取り付けられている。排気管46Aには、処理室14A内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ48Aおよび圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ40Aを介して、真空排気装置としての真空ポンプ52Aが接続されている。このような構成により、処理室14A内の圧力を処理に応じた処理圧力とすることができる。主に、排気管46A、APCバルブ40A、圧力センサ48Aにより、排気系Aが構成される。排気系Aは後述する排気ボックス74Aに収納されている。
処理室14Aは、複数枚、例えば25〜150枚のウエハWを垂直に棚状に支持する基板保持具としてのボート26Aを内部に収納する。ボート26Aは、蓋部22Aおよび断熱部24Aを貫通する回転軸28Aにより、断熱部24Aの上方に支持される。回転軸28Aは蓋部22Aの下方に設置された回転機構30Aに接続されており、回転軸28Aは反応管10Aの内部を気密にシールした状態で回転可能に構成される。蓋部22は昇降機構としてのボートエレベータ32Aにより上下方向に駆動される。これにより、ボート26Aおよび蓋部22Aが一体的に昇降され、反応管10Aに対してボート26Aが搬入出される。
ボート26AへのウエハWの移載は搬送室6Aで行われる。図3に示すように、搬送室6A内の一側面(搬送室6Aの外側側面、搬送室6Bに面する側面と反対側の側面)には、クリーンユニット60Aが設置されており、搬送室6A内にクリーンエア(例えば、不活性ガス)を循環させるように構成されている。搬送室6A内に供給された不活性ガスは、ボート26Aを挟んでクリーンユニット60Aと対面する側面(搬送室6Bに面する側面)に設置された排気部62Aによって搬送室6A内から排気され、クリーンユニット60Aから搬送室6A内に再供給される(循環パージ)。搬送室6A内の圧力は移載室8内の圧力よりも低くなるように設定されている。また、搬送室6A内の酸素濃度は、大気中における酸素濃度よりも低くなるように設定されている。このような構成により、ウエハWの搬送作業中にウエハW上に自然酸化膜が形成されることを抑制することができる。
回転機構30A、ボートエレベータ32A、ガス供給機構34AのMFC38a〜dおよびバルブ40a〜d、APCバルブ50Aには、これらを制御するコントローラ100が接続される。コントローラ100は、例えば、CPUを備えたマイクロプロセッサ(コンピュータ)からなり、処理装置2の動作を制御するよう構成される。コントローラ100には、例えばタッチパネル等として構成された入出力装置102が接続されている。コントローラ100は、処理モジュール3Aと処理モジュール3Bとで夫々に1つずつ設置されても良いし、共通して1つ設置されても良い。
コントローラ100には記憶媒体としての記憶部104が接続されている。記憶部104には、処理装置10の動作を制御する制御プログラムや、処理条件に応じて処理装置2の各構成部に処理を実行させるためのプログラム(レシピとも言う)が、読み出し可能に格納される。
記憶部104は、コントローラ100に内蔵された記憶装置(ハードディスクやフラッシュメモリ)であってもよいし、可搬性の外部記録装置(磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)であってもよい。また、コンピュータへのプログラムの提供は、インターネットや専用回線等の通信手段を用いて行ってもよい。プログラムは、必要に応じて、入出力装置102からの指示等にて記憶部104から読み出され、読み出されたレシピに従った処理をコントローラ100が実行することで、処理装置2は、コントローラ100の制御のもと、所望の処理を実行する。コントローラ100は、コントローラボックス76A、76Bに収納される。
次に、上述の処理装置2を用い、基板上に膜を形成する処理(成膜処理)について説明する。ここでは、ウエハWに対して、原料ガスとしてDCS(SiH2 Cl2 :ジクロロシラン)ガスと、反応ガスとしてO2 (酸素)ガスとを供給することで、ウエハW上にシリコン酸化(SiO2)膜を形成する例について説明する。なお、以下の説明において、処理装置2を構成する各部の動作はコントローラ100により制御される。
(ウエハチャージおよびボートロード)
ゲートバルブ90Aを開き、ボート20Aに対してウエハWを搬送する。複数枚のウエハWがボート26Aに装填(ウエハチャージ)されると、ゲートバルブ90Aが閉じられる。ボート26Aは、ボートエレベータ32Aによって処理室14内に搬入(ボートロード)され、反応管10Aの下部開口は蓋部22Aによって気密に閉塞(シール)された状態となる。
(圧力調整および温度調整)
処理室14A内が所定の圧力(真空度)となるように、真空ポンプ52Aによって真空排気(減圧排気)される。処理室14A内の圧力は、圧力センサ48Aで測定され、この測定された圧力情報に基づきAPCバルブ50Aが、フィードバック制御される。また、処理室14A内のウエハWが所定の温度となるように、ヒータ12Aによって加熱される。この際、処理室14Aが所定の温度分布となるように、温度検出部16Aが検出した温度情報に基づきヒータ12Aへの通電具合がフィードバック制御される。また、回転機構30Aによるボート26AおよびウエハWの回転を開始する。
(成膜処理)
[原料ガス供給工程]
処理室14A内の温度が予め設定された処理温度に安定すると、処理室14A内のウエハWに対してDCSガスを供給する。DCSガスは、MFC38aにて所望の流量となるように制御され、ガス供給管36aおよびノズル44aを介して処理室14A内に供給される。
[原料ガス排気工程]
次に、DCSガスの供給を停止し、真空ポンプ52Aにより処理室14A内を真空排気する。この時、不活性ガス供給部から不活性ガスとしてNガスを処理室14A内に供給しても良い(不活性ガスパージ)。
[反応ガス供給工程]
次に、処理室14A内のウエハWに対してO2ガスを供給する。O2ガスは、MFC38bにて所望の流量となるように制御され、ガス供給管36bおよびノズル44bを介して処理室14A内に供給される。
[反応ガス排気工程]
次に、O2ガスの供給を停止し、真空ポンプ52Aにより処理室14A内を真空排気する。この時、不活性ガス供給部からNガスを処理室14A内に供給しても良い(不活性ガスパージ)。
上述した4つの工程を行うサイクルを所定回数(1回以上)行うことにより、ウエハW上に、所定組成および所定膜厚のSiO2膜を形成することができる。
(ボートアンロードおよびウエハディスチャージ)
所定膜厚の膜を形成した後、不活性ガス供給部からNガスが供給され、処理室14A内がNガスに置換されると共に、処理室14Aの圧力が常圧に復帰される。その後、ボートエレベータ32Aにより蓋部22Aが降下されて、ボート26Aが反応管10Aから搬出(ボートアンロード)される。その後、処理済ウエハWはボート26Aより取出される(ウエハディスチャージ)。
その後、ウエハWはポッド5に収納され処理装置2外に搬出されても良いし、処理炉4Bへ搬送され、例えば、アニール等の基板処理が連続して行われても良い。処理炉4AでのウエハWの処理後に連続して処理炉4BでウエハWの処理を行う場合、ゲートバルブ90Aおよび90Bを開とし、ボート26Aからボート26BへウエハWが直接搬送される。その後の処理炉4B内へのウエハWの搬入出は、上述の処理炉4Aによる基板処理と同様の手順にて行われる。また、処理炉4B内での基板処理は、例えば、上述の処理炉4Aによる基板処理と同様の手順にて行われる。
ウエハWにSiO2膜を形成する際の処理条件としては、例えば、下記が例示される。
処理温度(ウエハ温度):300℃〜700℃、
処理圧力(処理室内圧力)1Pa〜4000Pa、
DCSガス:100sccm〜10000sccm、
2ガス:100sccm〜10000sccm、
2ガス:100sccm〜10000sccm、
それぞれの処理条件を、それぞれの範囲内の値に設定することで、成膜処理を適正に進行させることが可能となる。
次に、処理装置2の背面構成について説明する。
例えば、ボート26が破損した場合には、ボート26を交換する必要がある。また、反応管10が破損した場合や、反応管10のクリーニングが必要な場合は、反応管10を取り外す必要がある。このように、搬送室6や処理炉4におけるメンテナンスを実施する場合には、処理装置2の背面側のメンテナンスエリアからメンテナンスを行う。
図1に示すように、搬送室6A、6Bの背面側には、メンテナンス口78A、78Bがそれぞれ形成されている。メンテナンス口78Aは搬送室6Aの搬送室6B側に形成され、メンテナンス口78Bは搬送室6Bの搬送室6A側に形成される。メンテナンス口78A、78Bはメンテナンス扉80A、80Bにより開閉される。メンテナンス扉80A、80Bはヒンジ82A、82Bを基軸として回動可能に構成される。ヒンジ82Aは搬送室6Aの搬送室6B側に設置され、ヒンジ82Bは搬送室6Bの搬送室6A側に設置される。すなわち、ヒンジ82A、82Bは搬送室6A、6Bの背面側の隣接面に位置する内側角部付近に互いに隣接するように設置される。メンテナンスエリアは処理モジュール3A背面における処理モジュール3B側と処理モジュール3B背面における処理モジュール3A側とに形成されている。
想像線で示すように、メンテナンス扉80A、80Bがヒンジ82A、82Bを中心にして搬送室6A、6Bの背面側後方に水平に回動されることにより、背面メンテナンス口78A、78Bが開かれる。メンテナンス扉80Aは、搬送室6Aに向かって左開きに180°まで開放可能なように構成される。メンテナンス扉80Bは、搬送室6Bに向かって右開きに180°まで開放可能なように構成される。すなわち、搬送室6Aに向かって、メンテナンス扉80Aは時計回りに回動し、メンテナンス扉80Bは反時計回りに回動する。言い換えれば、メンテナンス扉80A、80Bは、互いに反対方向に回動される。メンテナンス扉80A、80Bは取外し可能に構成されており、取り外してメンテナンスを行っても良い。
搬送室6A、6Bの背面近傍には、ユーティリティ系70A、70Bが設置されている。ユーティリティ系70A、70Bはメンテナンスリアを介在して対向して配置される。ユーティリティ系70A、70Bのメンテナンスを行う際は、ユーティリティ系70A、70Bの内側、すなわち、ユーティリティ系70A、70Bの間の空間(メンテナンスエリア)から行う。ユーティリティ系70A、70Bは、筐体側(搬送室6A、6B側)からそれぞれ順に、排気ボックス74A、74B、供給ボックス72A、72B、コントローラボックス76A、76Bで構成されている。ユーティリティ系70A、70Bの各ボックスのメンテナンス口はそれぞれ内側(メンテナンスエリア側)に形成されている。すなわち、ユーティリティ系70A、70Bの各ボックスのメンテナンス口は互いに向かい合うように形成されている。
排気ボックス74Aは、搬送室6Aの背面における搬送室6Bとは反対側に位置する外側角部に配置される。排気ボックス74Bは、搬送室6Bの背面における搬送室6Aとは反対側に位置する外側角部に配置される。すなわち、排気ボックス74A、74Bは、搬送室6A、6Bの外側側面と排気ボックス74A、74Bの外側側面とが平面に接続するように、平坦に(なめらかに)設置される。供給ボックス72Aは、排気ボックス74Aの搬送室6Aに隣接する側と反対側に隣接して配置される。供給ボックス72Bは、排気ボックス74Bの搬送室6Bに隣接する側と反対側に隣接して配置される。
上面視において、排気ボックス74A、74Bの厚さ(短辺方向の幅)は供給ボックス72A、72Bの厚さより小さくなっている。言い換えれば、排気ボックス74A、74Bよりも供給ボックス72A、72Bの方が、メンテナンスエリア側に突出している。供給ボックス72A、72B内には、ガス集積システムや多数の付帯設備が配置されているため、排気ボックス72A、72Bよりも厚さが大きくなってしまうことがある。そこで、排気ボックス72A、72Bを筐体側に設置することにより、メンテナンス扉80A,80B前のメンテナンスエリアを広く確保することができる。すなわち、上面視において、供給ボックス72A、72B間の距離よりも、排気ボックス74A、74B間の距離の方が大きくなっているため、供給ボックス72A,72Bを筐体側に設置するよりも、排気ボックス74A、74Bを筐体側に設置した方が、メンテナンススペースを広く確保することができる。
図3に示すように、ガス供給機構34A、34Bのファイナルバルブ(ガス供給系の最下段に位置するバルブ40a、40b)は、排気ボックス74A、74Bの上方に配置されている。好ましくは、排気ボックス74A、74Bの真上(直上)に配置されている。このような構成により、供給ボックス72A、72Bを筐体側から離れたところに設置しても、ファイナルバルブから処理室内への配管長を短くすることができるため、成膜の品質を向上させることができる。
図5に示すように、処理モジュール3A、3Bおよびユーティリティ系70A、70Bの各構成は、処理モジュール3A、3Bの隣接面Sに対して面対称に配置されている。排気管46A、46Bはそれぞれが角部方向を臨むように、すなわち、排気管46A、46Bが、排気ボックス74A、74B方向を臨むように反応管10A、10Bが設置される。また、ファイナルバルブからノズルまでの配管長が、処理モジュール3A、3Bで略同じ長さとなるように配管が配置されている。さらに、図5中の矢印で示すように、ウエハWの回転方向も処理炉4A、4Bにおいて互いに反対方向となるように構成される。
次に、処理装置2のメンテナンスについて説明する。
搬送室6A内が不活性ガスで循環パージされている場合、メンテナンス扉80Aを開放できないようにインターロックが設定されている。また、搬送室6A内の酸素濃度が大気圧における酸素濃度よりも低い場合も、メンテナンス扉80Aを開放できないようにインターロックが設定されている。メンテナンス扉80Bに関しても同様である。さらに、メンテナンス扉80A、80Bを開いているときは、ゲートバルブ90A、90Bを開放できないようにインターロックが設定されている。メンテナンス扉80A、80Bが開の状態でゲートバルブ90A、90Bを開とする場合は、処理装置2全体をメンテナンスモードとした上で、別途設置されているメンテナンススイッチをオンとすることにより、ゲートバルブ90A、90Bに関するインターロックが解除され、ゲートバルブ90A、90Bを開とすることができる。
メンテナンス扉80Aを開ける際は、搬送室6A内の酸素濃度を大気中における酸素濃度以上、好ましくは、大気中における酸素濃度まで上昇させるために、クリーンユニット62Aから搬送室6A内に大気雰囲気を流入させる。この時、搬送室6A内の圧力が、移載室8内の圧力よりも高くならないように、搬送室6A内の循環パージを解除し、搬送室6A内の雰囲気を搬送室6A外に排気するとともに、クリーンユニット62Aのファンの回転数を循環パージ時の回転数よりも落とし、搬送室6A内への大気の流入量を制御する。このように制御することにより、搬送室6A内の酸素濃度を上昇させつつ、搬送室6A内の圧力を移載室8内の圧力よりも低く維持することができる。
搬送室6A内の酸素濃度が大気圧中における酸素濃度と同等となると、インターロックが解除され、メンテナンス扉80Aを開けることができる。この時、搬送室6A内の酸素濃度が大気圧中における酸素濃度と同等であっても、搬送室6A内の圧力が移載室8内の圧力よりも高い場合は、メンテナンス扉80Aを開放できないように設定されている。メンテナンス扉80Aが開放されると、クリーンユニット62Aのファンの回転数を、少なくとも循環パージ時の回転数よりも大きくする。より好適には、クリーンユニット62Aのファンの回転数を最大とする。
移載室9内のメンテナンスは、移載室9の前方であって、ポッドオープナが設置されていない部分に形成されたメンテナンス口78Cから行われる。メンテナンス口78Cはメンテナンス扉によって開閉されるよう構成されている。上述のように、処理装置2全体をメンテナンスモードとした際は、ゲートバルブ90A、90Bを開として、ゲートバルブ90A、90B側よりメンテナンスすることも出来る。すなわち、移載室8内のメンテナンスは、装置正面からでも装置背面からでも、どちらからでも実施することができる。
<本実施形態による効果>
本実施形態によれば、以下に示す1つ又は複数の効果が得られる。
(1)ユーティリティ系を筐体側から排気ボックス、供給ボックスと配置することにより、処理装置背面のメンテナンスエリアを広くすることができる。このような構成により、搬送室背面のメンテナンス口を広く形成することができ、メンテナンス性を向上させることができる。また、処理装置背面のメンテナンスエリアを広くすることで、装置の両側にメンテナンスエリアを確保する必要がないため、装置のフットプリントを低減させることができる。
(2)左右の処理モジュールのユーティリティ系を処理装置の両外側側面に互いに対面して設置することにより、装置背面の空間を左右の処理モジュール共通のメンテナンスエリアとして使用することが可能となる。例えば、従来の装置においては、装置背面の両端に供給ボックスと排気ボックスとを対面するように設置していることがある。このような構成の装置を2つ並べた場合、2つ装置の境界線で、一方の排気ボックスと他方の供給ボックスとが隣接することになる。これに対して本実施形態によれば、2つの処理モジュールの境界線において、ユーティリティ系が配置されていないため、メンテナンスエリアを広く確保することができる。
(3)ガス供給系のファイナルバルブを排気ボックスの上方に設置することにより、ファイナルバルブから処理室までの配管長を短くすることができる。すなわち、ガス供給時のガス遅延や流量変動等を抑制することができ、成膜の品質を向上させることができる。通常、成膜の品質は、ガス流量やガス圧力等のガス供給条件に影響されるため、反応管内にガスを安定して供給するために供給ボックスを筐体近くに設置することが好まれる。しかしながら、本発明においては、ファイナルバルブを反応管の近くに設置することにより、成膜の品質に悪影響を及ぼすことなく、筐体から離れた位置に供給ボックスを配置することが可能となる。また、排気ボックスを処理容器(反応管)から延在される排気管よりも下方に配置し、その直上にファイナルバルブを配置することにより、処理室までの配管長を短くできる。さらに、ファイナルバルブを排気ボックスの直上に設置することにより、ファイナルバルブの交換等のメンテナンスが容易となる。
(4)処理モジュールの境界を境として線対称に各構成を設置することにより、左右の処理モジュールでの成膜の品質のばらつきを抑制することができる。すなわち、処理モジュール内の各構成、ユーティリティ系、ガス供給管配置や排気配管配置を線対称に設置することにより、供給ボックスから反応管への配管長や、反応管から排気ボックスへの配管長を左右の処理モジュールで略同一とすることができる。これにより、左右の処理モジュールにおいて同様の条件で成膜を実施することができ、成膜の品質を揃えることができるため、生産性を向上させることができる。
(5)メンテナンス扉を2つの処理モジュールの境界側に設置し、他方の処理モジュールに向けて回動するように構成することにより、メンテナンス扉を180度開放することができ、また、搬送室背面のメンテナンス口を広く形成することができるため、メンテナンス性を向上させることができる。
(6)一方の処理モジュールで基板処理を行いつつ、他方の処理モジュールや移載室内のメンテナンスをすることが可能となる。これにより、成膜処理を停止せずにメンテナンスができるため、装置の稼働率を上昇させることができ、生産性を向上させることができる。
(7)一方の処理モジュールのメンテナンス扉を開放する際、搬送室内の圧力を移載室内の圧力よりも低く維持しつつ、搬送室内の酸素濃度を大気圧における酸素濃度へ上昇させることにより、移載室側への搬送室から移載室への雰囲気の流入を抑制することができる。また、メンテナンス扉を開放後は搬送室内のクリーンユニットのファンの回転数を循環パージ時よりも上げることにより、メンテナンス扉開放後(搬送室を大気開放後)も、搬送室内から移載室内へ雰囲気が流入することを抑制することができる。このような構成により、一方の処理モジュールでメンテナンス扉を開放したとしても、他方の処理モジュールを稼働させ続けることが可能となる。すなわち、搬送室でメンテナンスを行っていても、移載室内の清浄雰囲気を保つことができ、また、移載室内の酸素濃度の上昇を抑制することができるため、稼働中の処理モジュールに悪影響を及ぼすことなく、停止中の処理モジュールをメンテナンスすることができる。これにより、一方の処理モジュールを稼働させた状態で他方の処理モジュールのメンテナンスをすることができるため、メンテナンスの際に処理装置全体の稼働を停止させる必要がなく、生産性を向上させることができる。
以上、本発明の実施形態を具体的に説明した。しかしながら、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、上述の実施形態では、原料ガスとしてDCSガスを用いる例について説明したが、本発明は、このような態様に限定されない。例えば、原料ガスとしては、DCSガスの他、HCD(SiCl:ヘキサクロロジシラン)ガス、MCS(SiHCl:モノクロロシラン)ガス、TCS(SiHCl:トリクロロシラン)ガス等の無機系ハロシラン原料ガスや、3DMAS(Si[N(CHH:トリスジメチルアミノシラン)ガス、BTBAS(SiH[NH(C)]:ビスターシャリブチルアミノシラン)ガス等のハロゲン基非含有のアミノ系(アミン系)シラン原料ガスや、MS(SiH:モノシラン)ガス、DS(Si:ジシラン)ガス等のハロゲン基非含有の無機系シラン原料ガスを用いることができる。
例えば、上述の実施形態では、SiO2膜を形成する例について説明した。しかしながら、本発明は、このような態様に限定されない。例えば、これらの他、もしくは、これらに加え、アンモニア(NH)ガス等の窒素(N)含有ガス(窒化ガス)、プロピレン(C)ガス等の炭素(C)含有ガス、三塩化硼素(BCl)ガス等の硼素(B)含有ガス等を用い、SiN膜、SiON膜、SiOCN膜、SiOC膜、SiCN膜、SiBN膜、SiBCN膜等を形成することができる。これらの成膜を行う場合においても、上述の実施形態と同様な処理条件にて成膜を行うことができ、上述の実施形態と同様の効果が得られる。
また例えば、本発明は、ウエハW上に、チタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、タンタル(Ta)、ニオブ(Nb)、アルミニウム(Al)、モリブデン(Mo)、タングステン(W)等の金属元素を含む膜、すなわち、金属系膜を形成する場合においても、好適に適用可能である。
上述の実施形態では、ウエハW上に膜を堆積させる例について説明したが、本発明は、このような態様に限定されない。例えば、ウエハWやウエハW上に形成された膜等に対して、酸化処理、拡散処理、アニール処理、エッチング処理等の処理を行う場合にも、好適に適用可能である。
また、上述の実施形態や変形例は、適宜組み合わせて用いることができる。このときの処理条件は、例えば上述の実施形態や変形例と同様な処理条件とすることができる。
3・・・処理モジュール
72・・・供給ボックス
74・・・排気ボックス
76・・・コントローラボックス

Claims (15)

  1. 基板を処理する第1の処理容器を有する第1の処理モジュールと、
    前記第1の処理容器に隣接して配置され、前記基板を処理する第2の処理容器を有する第2の処理モジュールと、
    前記第1の処理モジュール背面に隣接して配置され、前記第1の処理容器内を排気する第1の排気系が収納された第1の排気ボックスと、
    前記第1の排気ボックスの前記第1の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第1の処理容器内に処理ガスを供給する第1の供給系が収納された第1の供給ボックスと、
    前記第2の処理モジュール背面に隣接して配置され、前記第2の処理容器内を排気する第2の排気系が収納された第2の排気ボックスと、
    前記第2の排気ボックスの前記第2の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第2の処理容器内に処理ガスを供給する第2の供給系が収納された第2の供給ボックスと、を備え、
    前記第1の排気ボックスは前記第1の処理モジュール背面における前記第2の処理モジュール側とは反対側に位置する外側角部に配置され、前記第2の排気ボックスは前記第2の処理モジュール背面における前記第1の処理モジュール側とは反対側に位置する外側角部に配置される基板処理装置。
  2. 前記第1の排気ボックスと前記第2の排気ボックスとは前記第1の処理モジュール背面における前記第2の処理モジュール側と前記第2の処理モジュール背面における前記第1の処理モジュール側に位置するメンテナンスエリアを介在して対向して配置され、さらに、前記第1の供給ボックスと前記第2の供給ボックスとは前記メンテナンスエリアを介在して対向して配置される請求項1に記載の基板処理装置。
  3. 前記第1の処理モジュールは、前記第1の処理容器の下方に配置され、前記第1の処理容器内外へ前記基板を搬入出する第1の搬送室をさらに有し、
    前記第2の処理モジュールは、前記第1の搬送室に隣接し、前記第2の処理容器内外へ前記基板を搬入出する第2の搬送室をさらに有し、
    前記第1の排気ボックスは前記第1の搬送室に隣接して設置され、前記第2の排気ボックスは前記第2の搬送室に隣接して設置され、
    前記第1の排気ボックスは前記第1の搬送室背面における前記第2の搬送室側とは反対側に位置する外側角部に配置され、前記第2の排気ボックスは前記第2の搬送室背面における前記第1の搬送室側とは反対側に位置する外側角部に配置される請求項1に記載の基板処理装置。
  4. 前記第1の排気ボックスと前記第2の排気ボックスとの間の距離の方が、前記第1の供給ボックスと前記第2の供給ボックスとの間の距離よりも大きい請求項1に記載の基板処理装置。
  5. 前記第1の排気ボックスよりも前記第2の供給ボックスの方が前記メンテナンスエリア側に突出し、前記第1の排気ボックスよりも前記第2の供給ボックスの方が前記メンテナンスエリア側に突出している請求項2に記載の基板処理装置。
  6. 前記第1の排気ボックスのメンテナンス口および前記第2の排気ボックスのメンテナンス口と、前記第1の排気ボックスのメンテナンス口および前記第2の供給ボックスのメンテナンス口とは、互いに向かい合うように前記メンテナンスエリア側に配置される請求項5に記載の基板処理装置。
  7. 前記第1の搬送室の背面における前記第2の搬送室側には第1のメンテナンス扉が設置され、前記第2の搬送室の背面における前記第1の搬送室側には第2のメンテナンス扉が設置される請求項3に記載の基板処理装置。
  8. 前記第1のメンテナンス扉は前記第2の搬送室側を基軸として回動可能に構成され、前記第2のメンテナンス扉は前記第1の搬送室側を基軸として回動可能に構成される請求項7に記載の基板処理装置。
  9. 前記第1の排気ボックスの上方(単に上方だけでなく直上とか真上とか限定できるように明細書に記載しておいたほうがよいです)に前記第1の供給系の最下流に位置するバルブが配置され、前記第2の排気ボックスの上方(単に上方だけでなく直上とか真上とか限定できるように明細書に記載しておいたほうがよいです)に前記第2の供給系の最下流に位置するバルブが配置される請求項8に記載の基板処理装置。
  10. 前記第1の収納容器内の構成および前記第1の搬送室内の構成と、前記第2の収納容器内の構成および前記第2の搬送室内の構成とは、前記第1の搬送室と前記第2の搬送室との隣接面に対して面対称に配置されている請求項9に記載の基板処理装置。
  11. 前記第1の搬送室の正面側および前記第2の搬送室の正面側に隣接し、前記第1の搬送室内の第1の基板保持具および前記第2の搬送室内の第2の基板保持具に前記基板を移載する移載室をさらに備え、
    前記第1のメンテナンス扉は、
    前記第1の搬送室内の圧力が前記移載室内の圧力よりも低く、かつ、前記第1の搬送室内の酸素濃度が大気中の酸素濃度以上のときに開放可能に構成される請求項10に記載の基板処理装置。
  12. 第1の処理モジュールの第1の処理容器内の基板に対して、前記第1の処理モジュール背面における前記第1の処理モジュールに隣接する第2の処理モジュール側とは反対側に位置する外側角部に前記第1の処理モジュールに隣接して配置された第1の排気ボックスの前記第1の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第1の処理容器内に処理ガスを供給する第1の供給ボックスに収納された第1の供給系からガスを供給しつつ、前記第1の排気ボックスに収納された第1の排気系によって前記第1の処理容器内を排気し、前記基板を処理する第1処理工程と、
    前記第1の処理容器から前記第1の処理容器に隣接する第2の処理容器へ移載室を介して前記基板を搬送する工程と、
    前記第2の処理モジュールの前記第2の処理容器内の前記基板に対して、前記第2の処理モジュール背面における前記第1の処理モジュール側とは反対側に位置する外側角部に前記第2の処理モジュールに隣接して配置された第2の排気ボックスの前記第2の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第2の処理容器内に処理ガスを供給する第2の供給ボックスに収納された第2の供給系からガスを供給しつつ、前記第2の排気ボックスに収納された第2の排気系によって前記第2の処理容器内を排気し、前記基板を処理する第2処理工程と、
    を有する半導体装置の製造方法。
  13. 前記第1の処理容器の下方に配置される第1の搬送室内をメンテナンスする工程と、をさらに有し、
    前記メンテナンスする工程と前記第2処理工程と、を同時に行う請求項12に記載の半導体装置の製造方法。
  14. 前記メンテナンスする工程は、
    前記第1の搬送室内の圧力を前記移載室の圧力よりも低い圧力に維持しつつ、前記第1の搬送室内の酸素濃度を大気中の酸素濃度以上の酸素濃度に上昇させるステップと、
    前記第1の搬送室の背面に形成されたメンテナンス扉を開放するステップと、を有する請求項13に記載の半導体装置の製造方法。
  15. 第1の処理モジュールの第1の処理容器内の基板に対して、前記第1の処理モジュール背面における前記第1の処理モジュールに隣接する第2の処理モジュール側とは反対側に位置する外側角部に前記第1の処理モジュールに隣接して配置された第1の排気ボックスの前記第1の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第1の処理容器内に処理ガスを供給する第1の供給ボックスに収納された第1の供給系からガスを供給しつつ、前記第1の排気ボックスに収納された第1の排気系によって前記第1の処理容器内を排気し、前記基板上に膜を形成する手順と、
    前記第1の処理容器から前記第1の処理容器に隣接する第2の処理容器へ移載室を介して前記基板を搬送する手順と、
    第2の処理モジュールの前記第2の処理容器内の前記基板に対して、前記第2の処理モジュール背面における前記第1の処理モジュール側とは反対側に位置する外側角部に前記第2の処理モジュールに隣接して配置された第2の排気ボックスの前記第2の処理モジュール背面と隣接する側と反対側に隣接して配置され、前記第2の処理容器内に処理ガスを供給する第2の供給ボックスに収納された第2の供給系からガスを供給しつつ、前記第2の排気ボックスに収納された第2の排気系によって前記第2の処理容器内を排気し、前記基板上に膜を形成する手順と、
    コンピュータによって基板処理装置に実行させるプログラムを格納した記録媒体。
JP2018524671A 2016-06-30 2016-06-30 基板処理装置、半導体装置の製造方法および記録媒体 Active JP6621921B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2019215585A JP6891252B2 (ja) 2016-06-30 2019-11-28 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/069486 WO2018003072A1 (ja) 2016-06-30 2016-06-30 基板処理装置、半導体装置の製造方法および記録媒体

Related Child Applications (6)

Application Number Title Priority Date Filing Date
JP2019059944A Division JP6625256B2 (ja) 2019-03-27 2019-03-27 基板処理装置、半導体装置の製造方法および記録媒体
JP2019059948A Division JP6591712B2 (ja) 2019-03-27 2019-03-27 基板処理装置、半導体装置の製造方法および記録媒体
JP2019059946A Division JP6616917B2 (ja) 2019-03-27 2019-03-27 基板処理装置、半導体装置の製造方法および記録媒体
JP2019059947A Division JP6591711B2 (ja) 2019-03-27 2019-03-27 基板処理装置、半導体装置の製造方法および記録媒体
JP2019059945A Division JP6591710B2 (ja) 2019-03-27 2019-03-27 基板処理装置、半導体装置の製造方法および記録媒体
JP2019215585A Division JP6891252B2 (ja) 2016-06-30 2019-11-28 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体

Publications (2)

Publication Number Publication Date
JPWO2018003072A1 true JPWO2018003072A1 (ja) 2019-01-17
JP6621921B2 JP6621921B2 (ja) 2019-12-18

Family

ID=60786200

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018524671A Active JP6621921B2 (ja) 2016-06-30 2016-06-30 基板処理装置、半導体装置の製造方法および記録媒体

Country Status (6)

Country Link
US (4) US10636681B2 (ja)
JP (1) JP6621921B2 (ja)
KR (9) KR101974327B1 (ja)
CN (6) CN117855106A (ja)
SG (1) SG11201811656VA (ja)
WO (1) WO2018003072A1 (ja)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN117855106A (zh) * 2016-06-30 2024-04-09 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6795675B2 (ja) 2017-02-17 2020-12-02 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230130775A (ko) * 2018-03-06 2023-09-12 가부시키가이샤 코쿠사이 엘렉트릭 처리 장치, 배기 시스템 및 반도체 장치의 제조 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6896682B2 (ja) * 2018-09-04 2021-06-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7105751B2 (ja) * 2019-01-10 2022-07-25 東京エレクトロン株式会社 処理装置
JP7175201B2 (ja) * 2019-01-10 2022-11-18 東京エレクトロン株式会社 処理装置
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP6900412B2 (ja) * 2019-03-20 2021-07-07 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法及びプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7228612B2 (ja) 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023159478A (ja) * 2020-09-25 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US20220130698A1 (en) * 2020-10-22 2022-04-28 Nanya Technology Corporation Gas purge device and gas purging method
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102641087B1 (ko) * 2021-07-23 2024-02-28 ㈜온새미로 웨이퍼 매핑이 가능한 종형 확산로
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11204449A (ja) * 1998-01-14 1999-07-30 Tokyo Electron Ltd 縦型熱処理装置
JP2001023872A (ja) * 1999-07-09 2001-01-26 Hitachi Ltd 半導体基板処理装置
JP2001210602A (ja) * 2000-01-27 2001-08-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2002170781A (ja) * 2000-11-30 2002-06-14 Tokyo Electron Ltd 熱処理装置
JP2003031562A (ja) * 2001-07-12 2003-01-31 Tokyo Electron Ltd 熱処理装置
JP2013115275A (ja) * 2011-11-29 2013-06-10 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
FR2761485B1 (fr) * 1997-03-28 1999-06-11 Instruments Sa Multiplexeur-demultiplexeur en longueur d'onde a fibres optiques
JPH11195688A (ja) * 1997-12-26 1999-07-21 Mc Electronics Kk 基板処理装置
JP4100466B2 (ja) * 2000-12-25 2008-06-11 東京エレクトロン株式会社 液処理装置
JP2002280317A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
US20020170781A1 (en) * 2001-05-18 2002-11-21 Burkholder Robert F. Disk oil slinger assembly
JP3605692B2 (ja) * 2001-10-24 2004-12-22 東京エレクトロン株式会社 搬送処理方法及び搬送処理装置
JP4218821B2 (ja) * 2002-06-11 2009-02-04 株式会社日立国際電気 基板処理装置
JP4397646B2 (ja) * 2003-07-30 2010-01-13 東京エレクトロン株式会社 基板処理装置および基板処理方法
US9111972B2 (en) 2004-07-13 2015-08-18 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for a semiconductor device
KR100666349B1 (ko) * 2005-03-04 2007-01-11 세메스 주식회사 증착 장치 및 상기 장치에서 마스크를 회수하는 방법.
US7918940B2 (en) 2005-02-07 2011-04-05 Semes Co., Ltd. Apparatus for processing substrate
US7553516B2 (en) 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7371998B2 (en) 2006-07-05 2008-05-13 Semitool, Inc. Thermal wafer processor
JP2008091761A (ja) 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5016294B2 (ja) * 2006-11-10 2012-09-05 東京エレクトロン株式会社 基板処理装置及び該装置の分析方法
JP2012099763A (ja) * 2010-11-05 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理装置の保守点検方法
JP6120621B2 (ja) * 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ 真空処理装置及びその運転方法
KR101848573B1 (ko) * 2013-10-10 2018-04-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP6490409B2 (ja) * 2014-03-19 2019-03-27 東レエンジニアリング株式会社 塗布装置、塗布方法、及びディスプレイ用部材の製造方法
CN117855106A (zh) * 2016-06-30 2024-04-09 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11204449A (ja) * 1998-01-14 1999-07-30 Tokyo Electron Ltd 縦型熱処理装置
JP2001023872A (ja) * 1999-07-09 2001-01-26 Hitachi Ltd 半導体基板処理装置
JP2001210602A (ja) * 2000-01-27 2001-08-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2002170781A (ja) * 2000-11-30 2002-06-14 Tokyo Electron Ltd 熱処理装置
JP2003031562A (ja) * 2001-07-12 2003-01-31 Tokyo Electron Ltd 熱処理装置
JP2013115275A (ja) * 2011-11-29 2013-06-10 Hitachi Kokusai Electric Inc 基板処理装置

Also Published As

Publication number Publication date
CN109075020A (zh) 2018-12-21
KR20180107301A (ko) 2018-10-01
CN109075020B (zh) 2024-03-05
CN117894718A (zh) 2024-04-16
KR20180107306A (ko) 2018-10-01
CN117913000A (zh) 2024-04-19
KR101969275B1 (ko) 2019-04-15
KR101943808B1 (ko) 2019-01-29
KR101969276B1 (ko) 2019-04-15
KR20220078725A (ko) 2022-06-10
KR20240017095A (ko) 2024-02-06
US20200013639A1 (en) 2020-01-09
US20210217634A1 (en) 2021-07-15
CN110265322B (zh) 2020-10-30
KR101969277B1 (ko) 2019-04-15
KR20180054788A (ko) 2018-05-24
KR20180107303A (ko) 2018-10-01
US11456190B2 (en) 2022-09-27
KR101974327B1 (ko) 2019-04-30
US20230016879A1 (en) 2023-01-19
US10636681B2 (en) 2020-04-28
KR20180107305A (ko) 2018-10-01
SG11201811656VA (en) 2019-01-30
CN117855106A (zh) 2024-04-09
KR101969274B1 (ko) 2019-04-15
WO2018003072A1 (ja) 2018-01-04
KR20180107304A (ko) 2018-10-01
US20190198359A1 (en) 2019-06-27
CN117855105A (zh) 2024-04-09
CN110265322A (zh) 2019-09-20
JP6621921B2 (ja) 2019-12-18
US11062918B2 (en) 2021-07-13
KR20190045410A (ko) 2019-05-02

Similar Documents

Publication Publication Date Title
JP6621921B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP7429747B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2017138087A1 (ja) 基板処理装置および半導体装置の製造方法
JP6591711B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6591710B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6616917B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6591712B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6625256B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
CN216049147U (zh) 作业用保护件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180914

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20190325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191017

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191120

R150 Certificate of patent or registration of utility model

Ref document number: 6621921

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250