US20230016879A1 - Substrate processing apparatus and method of manufacturing semiconductor device - Google Patents

Substrate processing apparatus and method of manufacturing semiconductor device Download PDF

Info

Publication number
US20230016879A1
US20230016879A1 US17/951,389 US202217951389A US2023016879A1 US 20230016879 A1 US20230016879 A1 US 20230016879A1 US 202217951389 A US202217951389 A US 202217951389A US 2023016879 A1 US2023016879 A1 US 2023016879A1
Authority
US
United States
Prior art keywords
maintenance
utility system
process module
processing apparatus
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/951,389
Inventor
Daigi KAMIMURA
Tomoshi Taniyama
Takashi Nogami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=60786200&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20230016879(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to US17/951,389 priority Critical patent/US20230016879A1/en
Assigned to Kokusai Electric Corporation reassignment Kokusai Electric Corporation ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAMIMURA, DAIGI, NOGAMI, TAKASHI, TANIYAMA, TOMOSHI
Publication of US20230016879A1 publication Critical patent/US20230016879A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Definitions

  • the present disclosure relates to a substrate processing apparatus and a method of manufacturing a semiconductor device.
  • a vertical substrate processing apparatus for processing a plurality of substrates at one time is used.
  • a maintenance area around the substrate processing apparatus.
  • the footprint of the substrate processing apparatus may become large in some cases.
  • the present disclosure provides some embodiments of a technique capable of reducing a footprint while securing a maintenance area.
  • a technique including: a first processing module including a first processing container in which a substrate is processed; a second processing module including a second processing container, in which the substrate is processed, disposed adjacent to the first processing container; a first utility system disposed adjacent to a back surface of the first processing module and including: a first exhaust box for accommodating a first exhaust system configured to evacuate an inside of the first processing container; and a first supply box for accommodating a first supply system configured to supply a processing gas into the first processing container; and a second utility system disposed adjacent to a back surface of the second processing module and including: a second exhaust box for accommodating a second exhaust system configured to evacuate an inside of the second processing container; and a second supply box for accommodating a second supply system configured to supply a processing gas into the second processing container, wherein the first exhaust box and the second exhaust box are disposed to face each other across a maintenance area, which is located behind a first part of the back surface of the first processing module that is close to the second processing module
  • FIG. 1 is a top view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 2 is a vertical sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 3 is a vertical cross-sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 4 is a vertical sectional view schematically showing an example of a process furnace suitably used in an embodiment of the present disclosure.
  • FIG. 5 is a horizontal sectional view schematically showing an example of a processing module suitably used in an embodiment of the present disclosure.
  • FIG. 9 A non-limiting exemplary embodiment of the present disclosure will now be described with reference to the drawings.
  • the same or corresponding components are denoted by the same or corresponding reference numerals, and redundant description thereof will be omitted.
  • the side of a storage chamber 9 to be described later is referred to as a front side
  • the side of transfer chambers 6 A and 6 B to be described later is referred to as a back side.
  • the side facing a boundary line (adjacent surface) of processing modules 3 A and 3 B to be described later is referred to as an inside
  • the side away from the boundary line is referred to as an outside.
  • the substrate processing apparatus is configured as a vertical substrate processing apparatus (hereinafter referred to as a processing apparatus) 2 that performs a substrate processing process such as a heat treatment or the like as one manufacturing process in a method of manufacturing a semiconductor device.
  • a processing apparatus vertical substrate processing apparatus
  • the processing apparatus 2 includes two adjacent processing modules 3 A and 3 B.
  • the processing module 3 A includes a process furnace 4 A and a transfer chamber 6 A.
  • the processing module 3 B includes a process furnace 4 B and a transfer chamber 6 B.
  • the transfer chambers 6 A and 6 B are disposed under the process furnaces 4 A and 4 B, respectively.
  • a transshipment chamber 8 is disposed adjacent to the front side of the transfer chambers 6 A and 6 B and equipped with a transshipment device (transfer robot) 7 for transshipping a wafer W.
  • a storage chamber 9 that stores a pod (FOUP) 5 for accommodating a plurality of wafers W is connected to the front side of the transshipment chamber 8 .
  • An I/O port 22 is installed on the entire surface of the storage chamber 9 , and the pod 5 is loaded into and unloaded from the processing apparatus 2 via the I/O port 22 .
  • gate valves 90 A and 90 B are respectively installed on the boundary walls (adjacent surfaces) between the transfer chambers 6 A and 6 B and the transshipment chamber 8 .
  • Pressure detectors are installed in the transshipment chamber 8 and in the transfer chambers 6 A and 6 B, respectively.
  • the pressure in the transshipment chamber 8 is set lower than the pressure in the transfer chambers 6 A and 6 B.
  • Oxygen concentration detectors are installed in the transshipment chamber 8 and in the transfer chambers 6 A and 6 B, respectively.
  • the oxygen concentrations in the transshipment chamber 8 and in the transfer chambers 6 A and 6 B are kept lower than the oxygen concentration in the atmosphere.
  • a clean unit 62 C for supplying a clean air into the transshipment chamber 8 .
  • the clean unit 62 C is configured to circulate a filtrated air, for example, an inert gas in the transshipment chamber 8 .
  • a filtrated air for example, an inert gas in the transshipment chamber 8 .
  • processing module 3 A and the processing module 3 B have the same configuration, only the processing module 3 A will be representatively described below.
  • the process furnace 4 A includes a cylindrical reaction tube 10 A and a heater 12 A as a heating means (heating mechanism) installed around the reaction tube 10 A.
  • the reaction tube 10 A is formed of, for example, quartz or SiC.
  • a process chamber 14 A for processing a wafer W as a substrate is formed inside the reaction tube 10 A.
  • a temperature detection part 16 A as a temperature detector is installed in the reaction tube 10 A.
  • the temperature detection part 16 A is vertically installed along the inner wall of the reaction tube 10 A.
  • a gas used for substrate processing is supplied into the process chamber 14 A by a gas supply mechanism 34 A as a gas supply system.
  • the gas supplied by the gas supply mechanism 34 A is changed depending on the type of the film to be formed.
  • the gas supply mechanism 34 A includes a precursor gas supply part, a reaction gas supply part and an inert gas supply part.
  • the gas supply mechanism 34 A is housed in a supply box 72 A to be described later.
  • the precursor gas supply part includes a gas supply pipe 36 a .
  • a mass flow controller (MFC) 38 a as a flow rate controller (flow rate control part) and a valve 40 a as an on-off valve are installed at the gas supply pipe 36 a sequentially from the upstream side.
  • the gas supply pipe 36 a is connected to a nozzle 44 a extending through a side wall of a manifold 18 A.
  • the nozzle 44 a is installed upright along the vertical direction within the reaction tube 10 A and has a plurality of supply holes opened toward the wafers W held by a boat 26 A.
  • a precursor gas is supplied to the wafer W through the supply holes of the nozzle 44 a.
  • a reaction gas is supplied from the reaction gas supply part to the wafer W via a gas supply pipe 36 b , a MFC 38 b , a valve 40 b and a nozzle 44 b .
  • An inert gas is supplied from the inert gas supply part to the wafer W via gas supply pipes 36 c and 36 d , MFCs 38 c and 38 d , valves 40 c and 40 d , and nozzles 44 a and 44 b.
  • the cylindrical manifold 18 A is connected to the lower end opening of the reaction tube 10 A via a sealing member such as an O ring or the like to support the lower end of the reaction tube 10 A.
  • the lower end opening of the manifold 18 A is opened and closed by a disk-shaped lid 22 A.
  • a sealing member such as an O-ring or the like is installed on the upper surface of the lid 22 A, whereby the interior of the reaction tube 10 A is hermetically sealed against the external air.
  • a heat insulating part 24 A is placed on the lid 22 A.
  • An exhaust pipe 46 A is attached to the manifold 18 A.
  • a vacuum pump 52 A as a vacuum exhaust device is connected to the exhaust pipe 46 A via a pressure sensor 48 A as a pressure detector (pressure detection part) for detecting the pressure in the process chamber 14 A and an APC (Auto Pressure Controller) valve 50 A as a pressure regulator (pressure regulation part).
  • a pressure sensor 48 A as a pressure detector (pressure detection part) for detecting the pressure in the process chamber 14 A
  • an APC (Auto Pressure Controller) valve 50 A as a pressure regulator (pressure regulation part).
  • An exhaust system A may include the exhaust pipe 46 A, the APC valve 50 A and/or the pressure sensor 48 A.
  • the exhaust system A is housed in an exhaust box 74 A to be described later.
  • the process chamber 14 A accommodates therein a boat 26 A as a substrate holder for vertically holding a plurality of wafers W, for example, 25 to 150 wafers Win a shelf shape.
  • the boat 26 A is supported above the heat insulating part 24 A by a rotating shaft 28 A extending through the lid 22 A and the heat insulating part 24 A.
  • the rotating shaft 28 A is connected to a rotation mechanism 30 A installed below the lid 22 A.
  • the rotating shaft 28 A is configured to be rotatable while hermetically sealing the inside of the reaction tube 10 A.
  • the lid 22 A is vertically driven by a boat elevator 32 A as an elevating mechanism. As a result, the boat 26 A and the lid 22 A are raised and lowered integrally, and the boat 26 A is loaded into and unloaded from the reaction tube 10 A.
  • the boat 26 B is supported by a rotating shaft 28 B extending through a lid 22 B.
  • the rotating shaft 28 B is connected to a rotation mechanism installed below the lid 22 B.
  • the rotating shaft 28 B is configured to be rotatable while hermetically sealing the inside of the reaction tube 10 B.
  • the lid 22 B is vertically driven by a boat elevator 32 B as an elevating mechanism. As a result, the boat 26 B and the lid 22 B are raised and lowered integrally, and the boat 26 B is loaded into and unloaded from the reaction tube 10 B.
  • the transshipment of the wafer W to the boat 26 A is performed in the transfer chamber 6 A.
  • a clean unit 60 A is installed on one side surface in the transfer chamber 6 A (the outer side surface of the transfer chamber 6 A or the side surface opposite to the side surface facing the transfer chamber 6 B) and a clean unit 60 B is installed on one side surface in the transfer chamber 6 B (the outer side surface of the transfer chamber 6 B or the side surface opposite to the side surface facing the transfer chamber 6 A).
  • the clean unit 60 A is configured to circulate a clean air (for example, an inert gas) in the transfer chamber 6 A and the clean unit 60 B is configured to circulate a clean air (for example, an inert gas) in the transfer chamber 6 B.
  • the inert gas supplied into the transfer chamber 6 A is exhausted from the inside of the transfer chamber 6 A by an exhaust part 62 A installed on the side surface facing the clean unit 60 A across the boat 26 A (the side surface facing the transfer chamber 6 B) and is re-supplied from the clean unit 60 A into the transfer chamber 6 A (circulation purge).
  • the inert gas supplied into the transfer chamber 6 B is exhausted from the inside of the transfer chamber 6 B by an exhaust part 62 B installed on the side surface facing the clean unit 60 B across the boat 26 B (the side surface facing the transfer chamber 6 A) and is re-supplied from the clean unit 60 B into the transfer chamber 6 B (circulation purge).
  • the pressure in the transfer chamber 6 A or 6 B is set to be lower than the pressure in the transshipment chamber 8 .
  • the oxygen concentration in the transfer chamber 6 A or 6 B is set to be lower than the oxygen concentration in the atmosphere.
  • a controller 100 is connected to the rotation mechanism 30 A, the boat elevator 32 A, the MFCs 38 a to 38 d and the valves 40 a to 40 d of the gas supply mechanism 34 A, and the APC valve 50 A.
  • the controller 100 is composed of, for example, a microprocessor (computer) having a CPU and is configured to control the operation of the processing apparatus 2 .
  • An input/output device 102 configured as, for example, a touch panel or the like is connected to the controller 100 .
  • the controller 100 may be installed for each of the processing module 3 A and the processing module 3 B, or one controller 100 may be installed in common in the processing module 3 A and the processing module 3 B.
  • a memory part 104 as a memory medium is connected to the controller 100 .
  • a control program for controlling the operation of the processing apparatus 2 and a program (also referred to as a recipe) for causing each component of the processing apparatus 2 to execute a process in accordance with processing conditions are readably stored.
  • the memory part 104 may be a memory device (a hard disk or a flash memory) incorporated in the controller 100 , or may be a portable external recording device (a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or a DVD, a magneto-optical disk such as an MO or the like, or a semiconductor memory such as a USB memory or a memory card).
  • the provision of the program to the computer may be performed by using a communication means such as the Internet or a dedicated line. If necessary, the program is read out from the memory part 104 in response to an instruction from the input/output device 102 , etc.
  • the controller 100 executes a process according to the read recipe so that the processing apparatus 2 can execute a desired process under the control of the controller 100 .
  • the controller 100 is stored in controller boxes 76 A and 76 B.
  • the gate valve 90 A is opened and the wafer W is transferred to the boat 26 A.
  • the gate valve 90 A is closed.
  • the boat 26 A is loaded into the process chamber 14 A by the boat elevator 32 A (boat loading), and the lower opening of the reaction tube 10 A is airtightly closed (sealed) by the lid 22 A.
  • the inside of the process chamber 14 A is evacuated into vacuum (evacuated into reduced pressure) by the vacuum pump 52 A so as to have a predetermined pressure (vacuum degree).
  • the pressure in the process chamber 14 A is measured by the pressure sensor 48 A, and the APC valve 50 A is feedback-controlled based on the measured pressure information.
  • the wafer W in the process chamber 14 A is heated by the heater 12 A so as to have a predetermined temperature.
  • the supply of electric power to the heater 12 A is feedback-controlled based on the temperature information detected by the temperature detection part 16 A so that the process chamber 14 A has a predetermined temperature distribution.
  • the rotation of the boat 26 A and the wafers W by the rotation mechanism 30 A is started.
  • An SiO 2 film having a predetermined composition and a predetermined film thickness can be formed on the wafer W by performing a cycle of four steps as descried below a predetermined number of times (one or more times).
  • a DCS gas is supplied to the wafers W in the process chamber 14 A.
  • the DCS gas is controlled to have a desired flow rate by the MFC 38 a and is supplied into the process chamber 14 A via the gas supply pipe 36 a and the nozzle 44 a.
  • an N 2 gas as inert gas may be supplied from the inert gas supply part into the process chamber 14 A (inert gas purge).
  • an O 2 gas is supplied to the wafers W in the process chamber 14 A.
  • the O 2 gas is controlled to have a desired flow rate by the MFC 38 b and is supplied into the process chamber 14 A via the gas supply pipe 36 b and the nozzle 44 b.
  • an N 2 gas may be supplied from the inert gas supply part into the process chamber 14 A (inert gas purge).
  • an N 2 gas is supplied from the inert gas supply part, the inside of the process chamber 14 A is replaced with the N 2 gas, and the pressure in the process chamber 14 A is restored to the atmospheric pressure. Thereafter, the lid 22 A is lowered by the boat elevator 32 A, and the boat 26 A is unloaded from the reaction tube 10 A (boat unloading). Thereafter, the processed wafers W are taken out from the boat 26 A (wafer discharging).
  • the wafers W may be stored in the pod 5 and unloaded to the outside of the processing apparatus 2 , or may be transferred to the process furnace 4 B so that substrate processing such as, for example, annealing or the like can be continuously performed.
  • substrate processing such as, for example, annealing or the like
  • the gate valves 90 A and 90 B are opened, and the wafers W are directly transferred from the boat 26 A to the boat 26 B.
  • the subsequent loading and unloading of the wafers W into and from the process furnace 4 B is performed in the same procedure as the substrate processing performed by the process furnace 4 A described above.
  • the substrate processing in the process furnace 4 B is performed, for example, in the same procedure as the substrate processing performed by the process furnace 4 A described above.
  • the rear structure of the processing apparatus 2 will be described.
  • the boat 26 A or 26 B is broken, it is necessary to replace the boat 26 A or 26 B.
  • the reaction tube 10 A or 10 B is broken or when the reaction tube 10 A or 10 B needs to be cleaned, it is necessary to remove the reaction tube 10 A or 10 B.
  • the maintenance is performed from a maintenance area located behind the back side of the processing apparatus 2 .
  • maintenance ports 78 A and 78 B are formed on the back sides of the transfer chambers 6 A and 6 B, respectively.
  • the maintenance port 78 A is formed on the back of the transfer chamber 6 A close to the transfer chamber 6 B
  • the maintenance port 78 B is formed on the back of the transfer chamber 6 B close to the transfer chamber 6 A.
  • the maintenance ports 78 A and 78 B are opened and closed by maintenance doors 80 A and 80 B.
  • the maintenance doors 80 A and 80 B are configured to be rotatable about hinges 82 A and 82 B as base axes.
  • the hinge 82 A is installed on the transfer chamber 6 B side of the transfer chamber 6 A, and the hinge 82 B is installed on the transfer chamber 6 A side of the transfer chamber 6 B.
  • the hinges 82 A and 82 B are installed adjacent to each other in the vicinity of the inner corners of the adjacent surfaces and the back sides of the transfer chambers 6 A and 6 B.
  • a maintenance area is formed behind a part (first part) of the back side of the processing module 3 A that is close to the processing module 3 B and behind a part (first part) of the back side of the processing module 3 B that is close to the processing module 3 A.
  • the maintenance doors 80 A and 80 B are horizontally rotated about the hinges 82 A and 82 B to the back sides of the transfer chambers 6 A and 6 B, whereby the maintenance ports 78 A and 78 B are opened.
  • the maintenance door 80 A is configured to open left and outward by at least 90 degrees, preferably up to 180 degrees.
  • the maintenance door 80 B is configured to open right and outward by at least 90 degrees, preferably up to 180 degrees. That is, the maintenance door 80 A rotates clockwise toward the transfer chamber 6 A, and the maintenance door 80 B rotates counterclockwise toward the transfer chamber 6 B. In other words, the maintenance doors 80 A and 80 B are rotated in opposite directions.
  • the maintenance doors 80 A and 80 B may be configured to be removable for maintenance.
  • Utility systems 70 A and 70 B are installed in the vicinity of the back surfaces of the transfer chambers 6 A and 6 B.
  • the utility system 70 A faces or is connected with a part (second part) of the back surface of the processing module 3 A opposite to the processing module 3 B.
  • the utility system 70 B faces or is connected with a part (second part) of the back surface of the processing module 3 B opposite to the processing module 3 A.
  • the utility systems 70 A and 70 B are arranged to face each other across the maintenance area interposed therebetween.
  • the maintenance of the utility systems 70 A and 70 B is performed from the space (maintenance area) inside the utility systems 70 A and 70 B, i.e., between the utility systems 70 A and 70 B.
  • the utility systems 70 A and 70 B are composed of exhaust boxes 74 A and 74 B, supply boxes 72 A and 72 B, and controller boxes 76 A and 76 B arranged sequentially from the housing side (the transfer chambers 6 A and 6 B side). Maintenance ports of the respective boxes of the utility systems 70 A and 70 B are formed on the inner side (close to the maintenance area). That is, the maintenance ports of the respective boxes of the utility systems 70 A and 70 B are formed to face each other.
  • the exhaust box 74 A is disposed behind a part of the back surface of the transfer chamber 6 A and adjoining to an outer corner of the transfer chamber 6 A opposite to the transfer chamber 6 B.
  • the exhaust box 74 B is disposed behind a part of the back side of the transfer chamber 6 B and adjoining to an outer corner of the transfer chamber 6 B opposite to the transfer chamber 6 A. That is, the exhaust boxes 74 A and 74 B are installed flat (smoothly) such that the outer side surfaces of the transfer chambers 6 A and 6 B and the outer side surfaces of the exhaust boxes 74 A and 74 B are connected to be flush (in plane) with each other.
  • the supply box 72 A is disposed adjacent to the exhaust box 74 A on the side opposite to the side of the exhaust box 74 A adjacent to the transfer chamber 6 A.
  • the supply box 72 B is disposed adjacent to exhaust box 74 B on the side opposite to the side of the exhaust box 74 B adjacent to the transfer chamber 6 B.
  • the thickness (the width in the short side direction) of the exhaust boxes 74 A and 74 B is smaller than the thickness of the supply boxes 72 A and 72 B.
  • the supply boxes 72 A and 72 B near the transfer chambers 6 A and 6 B protrude to the maintenance area side more than the exhaust boxes 74 A and 74 B away from the transfer chambers 6 A and 6 B. Since an integrated gas system and a large number of incidental facilities are arranged in the supply boxes 72 A and 72 B, the thickness may be larger than that of the exhaust boxes 74 A and 74 B in some cases.
  • the supply boxes 72 A and 72 B, the exhaust boxes 74 A and 74 B, and the controller boxes 76 A and 76 B can be arranged in that sequence from the front side, respectively if the supply boxes 72 A and 72 B are thinner than the exhaust boxes 74 A and 74 B or thin enough for securing a wide frontage.
  • the final valves (the valves 40 a and 40 b positioned at the lowermost stage of the gas supply system) of the gas supply mechanisms 34 A and 34 B are arranged above the exhaust boxes 74 A and 74 B.
  • the final valves are arranged just above (directly above) the exhaust boxes 74 A and 74 B.
  • the processing modules 3 A and 3 B are arranged plane-symmetrically with respect to the adjacent surface S 1 of the processing modules 3 A and 3 B.
  • the utility systems 70 A and 70 B are arranged plane-symmetrically with respect to the adjacent surface S 1 .
  • the reaction tubes 10 A and 10 B are installed so that each of the exhaust pipes 46 A and 46 B extends along the direction of the corner portion, i.e., so that the exhaust pipes 46 A and 46 B extend along the directions of the exhaust boxes 74 A and 74 B, respectively.
  • pipes are arranged so that the pipe length from the final valves to the nozzles becomes substantially the same in the processing modules 3 A and 3 B.
  • the rotation directions of the wafers W are also opposite to each other in the process furnaces 4 A and 4 B.
  • the interlock is set so that the maintenance door 80 A cannot be opened.
  • the interlock is set so that the maintenance door 80 A cannot be opened. This also applies to the maintenance door 80 B.
  • the interlock is set so that the gate valves 90 A and 90 B cannot be opened.
  • the entire processing apparatus 2 is brought into a maintenance mode and then the maintenance switch separately installed is turned on, whereby the interlock with respect to the gate valve 90 A and 90 B is released. This makes it possible to open the gate valves 90 A and 90 B.
  • the air is caused to flow from the clean unit 60 A into the transfer chamber 6 A in order to raise the oxygen concentration in the transfer chamber 6 A to an oxygen concentration in the atmosphere or more, preferably to an oxygen concentration in the atmosphere.
  • the circulation purge in the transfer chamber 6 A is released so that the pressure in the transfer chamber 6 A does not become higher than the pressure in the transshipment chamber 8 .
  • the atmosphere in the transfer chamber 6 A is exhausted to the outside of the transfer chamber 6 A.
  • the number of revolutions of a fan of the clean unit 60 A is made lower than the number of revolutions during the circulation purge to control the inflow amount of the air into the transfer chamber 6 A. By controlling in this way, it is possible to maintain the pressure in the transfer chamber 6 A lower than the pressure in the transshipment chamber 8 while increasing the oxygen concentration in the transfer chamber 6 A.
  • the interlock is released and the maintenance door 80 A can be opened.
  • the interlock is set so that the maintenance door 80 A cannot be opened.
  • the number of revolutions of a fan of the clean unit 60 A is set to be larger than the number of revolutions at the time of the circulation purge. More preferably, the number of revolutions of the fan of the clean unit 60 A is maximized.
  • the maintenance in the transshipment chamber 8 is performed from the maintenance port 78 C formed in the front of the transshipment chamber 8 and in the portion where the pod opener is not installed.
  • the maintenance port 78 C is configured to be opened and closed by a maintenance door.
  • the gate valves 90 A and 90 B are opened and the maintenance can be performed from the side of the gate valves 90 A and 90 B. That is, the maintenance in the transshipment chamber 8 can be performed from the front side of the apparatus, from the back side of the apparatus, or from both sides.
  • the maintenance door By installing the maintenance doors on the boundary side of the two processing modules and allowing the maintenance doors to rotate toward the other processing module, the maintenance door can be opened substantively by 180 degrees in a manner such that opened one of the doors overlaps with another door closed, and the maintenance port can be widely formed on the back side of the transfer chamber. This makes it possible to improve the maintainability.
  • the oxygen concentration in the transfer chamber is increased to the oxygen concentration at the atmospheric pressure while maintaining the pressure in the transfer chamber lower than the pressure in the transshipment chamber, whereby it is possible to suppress the inflow of the atmosphere from the transfer chamber toward the transshipment chamber. Furthermore, after the maintenance door is opened, the number of revolutions of the fan of the clean unit in the transfer chamber is made higher than that during the circulation purge, whereby even after the maintenance door is opened (even after the transfer chamber is opened to the atmosphere), it is possible to suppress the inflow of the atmosphere from the transfer chamber toward the transshipment chamber. With such a configuration, even if the maintenance door is opened in one of the processing modules, the other processing module can be kept running.
  • the stopped processing module can be subjected to maintenance without adversely affecting the processing module under operation.
  • the maintenance of the other processing module can be performed in a state in which one processing module is operated. Therefore, there is no need to stop the operations of all the processing apparatuses at the time of maintenance. This makes it possible to improve the productivity.
  • the DCS gas is used as a precursor gas.
  • the present disclosure is not limited to such an example.
  • an inorganic halosilane precursor gas such as a HCD (Si 2 Cl 6 : hexachlorodisilane) gas, a MCS (SiH 3 Cl: monochlorosilane) gas, a TCS (SiHCl 3 : trichlorosilane) gas or the like, a halogen-group-free amino (amine)-based silane precursor gas such as a 3DMAS (Si[N(CH 3 ) 2 ] 3 H: trisdimethylaminosilane) gas, a BTBAS (SiH 2 [NH(C 4 H 9 )] 2 : bis-tertiary butyl aminosilane) gas or the like, and a halogen-group-
  • a SiN film, a SiON film, a SiOCN film, a SiOC film, a SiCN film, a SiBN film, a SiBCN film or the like may be formed by using a nitrogen (N)-containing gas (nitriding gas) such as an ammonia (NH 3 ) gas or the like, a carbon (C)-containing gas such as a propylene (C 3 H 6 ) gas or the like, a boron (B)-containing gas such as a boron trichloride (BCl 3 ) gas or the like, and so forth.
  • N nitrogen
  • C carbon
  • BCl 3 boron trichloride
  • the present disclosure may also be suitably applied to a case where a film containing a metal element such as titanium (Ti), zirconium (Zr), hafnium (Hf), tantalum (Ta), niobium (Nb), aluminum (Al), molybdenum (Mo), tungsten (W) or the like, i.e., a metal-based film is formed on the wafer W.
  • a metal element such as titanium (Ti), zirconium (Zr), hafnium (Hf), tantalum (Ta), niobium (Nb), aluminum (Al), molybdenum (Mo), tungsten (W) or the like, i.e., a metal-based film is formed on the wafer W.
  • the present disclosure is not limited to such an example.
  • the present disclosure may also be suitably applied to a case where a process such as an oxidation process, a diffusion process, an annealing process, an etching process or the like is performed on a wafer W or a film formed on the wafer W.
  • processing conditions at this time may be, for example, the same processing conditions as those of the above-described embodiment and modifications.

Abstract

A substrate processing apparatus includes a first processing module including a first processing module, a second processing module, a first utility system adjacent to a back surface of the first processing module, and a second utility system adjacent to a back surface of the second processing module, a first exhaust box of the first utility system and a second exhaust box of the second utility system being disposed to face each other across a maintenance area located behind a part of the back surface of the first processing module that is close to the second processing module and behind a part of the back surface of the second processing module that is close to the first processing module, and a first supply box of the first utility system and a second supply box of the second utility system being disposed to face each other across the maintenance area.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 17/219,592, filed Mar. 31, 2021, which is a continuation of U.S. patent application Ser. No. 16/572,184, filed Sep. 16, 2019, which is a continuation of Ser. No. 16/234,972, filed Dec. 28, 2018, which is based upon and claims the benefit of priority under 35 U.S.C. § 119 of the PCT international Application No. PCT/JP2016/069486, filed on Jun. 30, 2016, the entire contents of which are incorporated herein by reference.
  • TECHNICAL FIELD
  • The present disclosure relates to a substrate processing apparatus and a method of manufacturing a semiconductor device.
  • BACKGROUND
  • When processing a substrate in a process of manufacturing a semiconductor device, for example, a vertical substrate processing apparatus for processing a plurality of substrates at one time is used. At the time of maintenance of the substrate processing apparatus, it is necessary to secure a maintenance area around the substrate processing apparatus. In order to secure the maintenance area, the footprint of the substrate processing apparatus may become large in some cases.
  • The present disclosure provides some embodiments of a technique capable of reducing a footprint while securing a maintenance area.
  • SUMMARY
  • According to one embodiment of the present disclosure, there is provided a technique, including: a first processing module including a first processing container in which a substrate is processed; a second processing module including a second processing container, in which the substrate is processed, disposed adjacent to the first processing container; a first utility system disposed adjacent to a back surface of the first processing module and including: a first exhaust box for accommodating a first exhaust system configured to evacuate an inside of the first processing container; and a first supply box for accommodating a first supply system configured to supply a processing gas into the first processing container; and a second utility system disposed adjacent to a back surface of the second processing module and including: a second exhaust box for accommodating a second exhaust system configured to evacuate an inside of the second processing container; and a second supply box for accommodating a second supply system configured to supply a processing gas into the second processing container, wherein the first exhaust box and the second exhaust box are disposed to face each other across a maintenance area, which is located behind a first part of the back surface of the first processing module that is close to the second processing module and behind a first part of the back surface of the second processing module that is close to the first processing module, and the first supply box and the second supply box are disposed to face each other across the maintenance area.
  • According to the present disclosure, it is possible to reduce a footprint while securing a maintenance area.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a top view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 2 is a vertical sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 3 is a vertical cross-sectional view schematically showing an example of a substrate processing apparatus suitably used in an embodiment of the present disclosure.
  • FIG. 4 is a vertical sectional view schematically showing an example of a process furnace suitably used in an embodiment of the present disclosure.
  • FIG. 5 is a horizontal sectional view schematically showing an example of a processing module suitably used in an embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • A non-limiting exemplary embodiment of the present disclosure will now be described with reference to the drawings. Throughout the drawings, the same or corresponding components are denoted by the same or corresponding reference numerals, and redundant description thereof will be omitted. Furthermore, the side of a storage chamber 9 to be described later is referred to as a front side, and the side of transfer chambers 6A and 6B to be described later is referred to as a back side. In addition, the side facing a boundary line (adjacent surface) of processing modules 3A and 3B to be described later is referred to as an inside, and the side away from the boundary line is referred to as an outside.
  • In the present embodiment, the substrate processing apparatus is configured as a vertical substrate processing apparatus (hereinafter referred to as a processing apparatus) 2 that performs a substrate processing process such as a heat treatment or the like as one manufacturing process in a method of manufacturing a semiconductor device.
  • As shown in FIGS. 1 and 2 , the processing apparatus 2 includes two adjacent processing modules 3A and 3B. The processing module 3A includes a process furnace 4A and a transfer chamber 6A. The processing module 3B includes a process furnace 4B and a transfer chamber 6B. The transfer chambers 6A and 6B are disposed under the process furnaces 4A and 4B, respectively. A transshipment chamber 8 is disposed adjacent to the front side of the transfer chambers 6A and 6B and equipped with a transshipment device (transfer robot) 7 for transshipping a wafer W. A storage chamber 9 that stores a pod (FOUP) 5 for accommodating a plurality of wafers W is connected to the front side of the transshipment chamber 8. An I/O port 22 is installed on the entire surface of the storage chamber 9, and the pod 5 is loaded into and unloaded from the processing apparatus 2 via the I/O port 22.
  • On the boundary walls (adjacent surfaces) between the transfer chambers 6A and 6B and the transshipment chamber 8, gate valves 90A and 90B are respectively installed. Pressure detectors are installed in the transshipment chamber 8 and in the transfer chambers 6A and 6B, respectively. The pressure in the transshipment chamber 8 is set lower than the pressure in the transfer chambers 6A and 6B. Oxygen concentration detectors are installed in the transshipment chamber 8 and in the transfer chambers 6A and 6B, respectively. The oxygen concentrations in the transshipment chamber 8 and in the transfer chambers 6A and 6B are kept lower than the oxygen concentration in the atmosphere. At the ceiling of the transshipment chamber 8, there is installed a clean unit 62C for supplying a clean air into the transshipment chamber 8. The clean unit 62C is configured to circulate a filtrated air, for example, an inert gas in the transshipment chamber 8. By purging the interior of the transshipment chamber 8 with a circulating inert gas, it is possible to keep the interior of the transshipment chamber 8 in a clean atmosphere. With such a configuration, it is possible to prevent particles and the like present in the transfer chambers 6A and 6B from entering the transshipment chamber 8. This makes it possible to prevent a natural oxide film from being formed on a wafer W in the transshipment chamber 8 and the transfer chambers 6A and 6B.
  • Since the processing module 3A and the processing module 3B have the same configuration, only the processing module 3A will be representatively described below.
  • As shown in FIG. 4 , the process furnace 4A includes a cylindrical reaction tube 10A and a heater 12A as a heating means (heating mechanism) installed around the reaction tube 10A. The reaction tube 10A is formed of, for example, quartz or SiC. Inside the reaction tube 10A, a process chamber 14A for processing a wafer W as a substrate is formed. A temperature detection part 16A as a temperature detector is installed in the reaction tube 10A. The temperature detection part 16A is vertically installed along the inner wall of the reaction tube 10A.
  • A gas used for substrate processing is supplied into the process chamber 14A by a gas supply mechanism 34A as a gas supply system. The gas supplied by the gas supply mechanism 34A is changed depending on the type of the film to be formed. The gas supply mechanism 34A includes a precursor gas supply part, a reaction gas supply part and an inert gas supply part. The gas supply mechanism 34A is housed in a supply box 72A to be described later.
  • The precursor gas supply part includes a gas supply pipe 36 a. A mass flow controller (MFC) 38 a as a flow rate controller (flow rate control part) and a valve 40 a as an on-off valve are installed at the gas supply pipe 36 a sequentially from the upstream side. The gas supply pipe 36 a is connected to a nozzle 44 a extending through a side wall of a manifold 18A. The nozzle 44 a is installed upright along the vertical direction within the reaction tube 10A and has a plurality of supply holes opened toward the wafers W held by a boat 26A. A precursor gas is supplied to the wafer W through the supply holes of the nozzle 44 a.
  • With the same configuration, a reaction gas is supplied from the reaction gas supply part to the wafer W via a gas supply pipe 36 b, a MFC 38 b, a valve 40 b and a nozzle 44 b. An inert gas is supplied from the inert gas supply part to the wafer W via gas supply pipes 36 c and 36 d, MFCs 38 c and 38 d, valves 40 c and 40 d, and nozzles 44 a and 44 b.
  • The cylindrical manifold 18A is connected to the lower end opening of the reaction tube 10A via a sealing member such as an O ring or the like to support the lower end of the reaction tube 10A. The lower end opening of the manifold 18A is opened and closed by a disk-shaped lid 22A. A sealing member such as an O-ring or the like is installed on the upper surface of the lid 22A, whereby the interior of the reaction tube 10A is hermetically sealed against the external air. A heat insulating part 24A is placed on the lid 22A.
  • An exhaust pipe 46A is attached to the manifold 18A. A vacuum pump 52A as a vacuum exhaust device is connected to the exhaust pipe 46A via a pressure sensor 48A as a pressure detector (pressure detection part) for detecting the pressure in the process chamber 14A and an APC (Auto Pressure Controller) valve 50A as a pressure regulator (pressure regulation part). With such a configuration, the pressure in the process chamber 14A may be set to a processing pressure depending on the process. An exhaust system A may include the exhaust pipe 46A, the APC valve 50A and/or the pressure sensor 48A. The exhaust system A is housed in an exhaust box 74A to be described later.
  • The process chamber 14A accommodates therein a boat 26A as a substrate holder for vertically holding a plurality of wafers W, for example, 25 to 150 wafers Win a shelf shape. The boat 26A is supported above the heat insulating part 24A by a rotating shaft 28A extending through the lid 22A and the heat insulating part 24A. The rotating shaft 28A is connected to a rotation mechanism 30A installed below the lid 22A. The rotating shaft 28A is configured to be rotatable while hermetically sealing the inside of the reaction tube 10A. The lid 22A is vertically driven by a boat elevator 32A as an elevating mechanism. As a result, the boat 26A and the lid 22A are raised and lowered integrally, and the boat 26A is loaded into and unloaded from the reaction tube 10A.
  • The boat 26B is supported by a rotating shaft 28B extending through a lid 22B. The rotating shaft 28B is connected to a rotation mechanism installed below the lid 22B. The rotating shaft 28B is configured to be rotatable while hermetically sealing the inside of the reaction tube 10B. The lid 22B is vertically driven by a boat elevator 32B as an elevating mechanism. As a result, the boat 26B and the lid 22B are raised and lowered integrally, and the boat 26B is loaded into and unloaded from the reaction tube 10B.
  • The transshipment of the wafer W to the boat 26A is performed in the transfer chamber 6A. As shown in FIG. 3 , a clean unit 60A is installed on one side surface in the transfer chamber 6A (the outer side surface of the transfer chamber 6A or the side surface opposite to the side surface facing the transfer chamber 6B) and a clean unit 60B is installed on one side surface in the transfer chamber 6B (the outer side surface of the transfer chamber 6B or the side surface opposite to the side surface facing the transfer chamber 6A). The clean unit 60A is configured to circulate a clean air (for example, an inert gas) in the transfer chamber 6A and the clean unit 60B is configured to circulate a clean air (for example, an inert gas) in the transfer chamber 6B. The inert gas supplied into the transfer chamber 6A is exhausted from the inside of the transfer chamber 6A by an exhaust part 62A installed on the side surface facing the clean unit 60A across the boat 26A (the side surface facing the transfer chamber 6B) and is re-supplied from the clean unit 60A into the transfer chamber 6A (circulation purge). The inert gas supplied into the transfer chamber 6B is exhausted from the inside of the transfer chamber 6B by an exhaust part 62B installed on the side surface facing the clean unit 60B across the boat 26B (the side surface facing the transfer chamber 6A) and is re-supplied from the clean unit 60B into the transfer chamber 6B (circulation purge). The pressure in the transfer chamber 6A or 6B is set to be lower than the pressure in the transshipment chamber 8. Further, the oxygen concentration in the transfer chamber 6A or 6B is set to be lower than the oxygen concentration in the atmosphere. With such a configuration, it is possible to suppress the formation of a natural oxide film on the wafer W during the transfer operation of the wafer W.
  • A controller 100 is connected to the rotation mechanism 30A, the boat elevator 32A, the MFCs 38 a to 38 d and the valves 40 a to 40 d of the gas supply mechanism 34A, and the APC valve 50A. The controller 100 is composed of, for example, a microprocessor (computer) having a CPU and is configured to control the operation of the processing apparatus 2. An input/output device 102 configured as, for example, a touch panel or the like is connected to the controller 100. The controller 100 may be installed for each of the processing module 3A and the processing module 3B, or one controller 100 may be installed in common in the processing module 3A and the processing module 3B.
  • A memory part 104 as a memory medium is connected to the controller 100. In the memory part 104, a control program for controlling the operation of the processing apparatus 2 and a program (also referred to as a recipe) for causing each component of the processing apparatus 2 to execute a process in accordance with processing conditions are readably stored.
  • The memory part 104 may be a memory device (a hard disk or a flash memory) incorporated in the controller 100, or may be a portable external recording device (a magnetic tape, a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or a DVD, a magneto-optical disk such as an MO or the like, or a semiconductor memory such as a USB memory or a memory card). In addition, the provision of the program to the computer may be performed by using a communication means such as the Internet or a dedicated line. If necessary, the program is read out from the memory part 104 in response to an instruction from the input/output device 102, etc. The controller 100 executes a process according to the read recipe so that the processing apparatus 2 can execute a desired process under the control of the controller 100. The controller 100 is stored in controller boxes 76A and 76B.
  • Next, a process (film-forming process) for forming a film on a substrate by using the above-described processing apparatus 2 will be described. Description will be made on an example where a silicon oxide (SiO2) film is formed by supplying a DCS (SiH2Cl2: dichlorosilane) gas as a precursor gas and an O2 (oxygen) gas as a reaction gas to the wafer W. In the following description, the operations of the respective parts constituting the processing apparatus 2 are controlled by the controller 100.
  • (Wafer Charging and Boat Loading)
  • The gate valve 90A is opened and the wafer W is transferred to the boat 26A. When a plurality of wafers W is loaded into the boat 26A (wafer charging), the gate valve 90A is closed. The boat 26A is loaded into the process chamber 14A by the boat elevator 32A (boat loading), and the lower opening of the reaction tube 10A is airtightly closed (sealed) by the lid 22A.
  • (Pressure Regulation and Temperature Adjustment)
  • The inside of the process chamber 14A is evacuated into vacuum (evacuated into reduced pressure) by the vacuum pump 52A so as to have a predetermined pressure (vacuum degree). The pressure in the process chamber 14A is measured by the pressure sensor 48A, and the APC valve 50A is feedback-controlled based on the measured pressure information. Furthermore, the wafer W in the process chamber 14A is heated by the heater 12A so as to have a predetermined temperature. At this time, the supply of electric power to the heater 12A is feedback-controlled based on the temperature information detected by the temperature detection part 16A so that the process chamber 14A has a predetermined temperature distribution. In addition, the rotation of the boat 26A and the wafers W by the rotation mechanism 30A is started.
  • (Film-Forming Process)
  • An SiO2 film having a predetermined composition and a predetermined film thickness can be formed on the wafer W by performing a cycle of four steps as descried below a predetermined number of times (one or more times).
  • [Precursor Gas Supply Step]
  • When the temperature in the process chamber 14A becomes stable at a preset processing temperature, a DCS gas is supplied to the wafers W in the process chamber 14A. The DCS gas is controlled to have a desired flow rate by the MFC 38 a and is supplied into the process chamber 14A via the gas supply pipe 36 a and the nozzle 44 a.
  • [Precursor Gas Exhaust Step]
  • Next, the supply of the DCS gas is stopped, and the interior of the process chamber 14A is evacuated into vacuum by the vacuum pump 52A. At this time, an N2 gas as inert gas may be supplied from the inert gas supply part into the process chamber 14A (inert gas purge).
  • [Reaction Gas Supply Step]
  • Next, an O2 gas is supplied to the wafers W in the process chamber 14A. The O2 gas is controlled to have a desired flow rate by the MFC 38 b and is supplied into the process chamber 14A via the gas supply pipe 36 b and the nozzle 44 b.
  • [Reaction Gas Exhaust Step]
  • Next, the supply of the O2 gas is stopped, and the interior of the process chamber 14A is evacuated into vacuum by the vacuum pump 52A. At this time, an N2 gas may be supplied from the inert gas supply part into the process chamber 14A (inert gas purge).
  • (Boat Unloading and Wafer Discharging)
  • After forming a film having a predetermined film thickness, an N2 gas is supplied from the inert gas supply part, the inside of the process chamber 14A is replaced with the N2 gas, and the pressure in the process chamber 14A is restored to the atmospheric pressure. Thereafter, the lid 22A is lowered by the boat elevator 32A, and the boat 26A is unloaded from the reaction tube 10A (boat unloading). Thereafter, the processed wafers W are taken out from the boat 26A (wafer discharging).
  • Thereafter, the wafers W may be stored in the pod 5 and unloaded to the outside of the processing apparatus 2, or may be transferred to the process furnace 4B so that substrate processing such as, for example, annealing or the like can be continuously performed. When continuously processing the wafers W in the process furnace 4B after the processing of the wafers W in the process furnace 4A, the gate valves 90A and 90B are opened, and the wafers W are directly transferred from the boat 26A to the boat 26B. The subsequent loading and unloading of the wafers W into and from the process furnace 4B is performed in the same procedure as the substrate processing performed by the process furnace 4A described above. Furthermore, the substrate processing in the process furnace 4B is performed, for example, in the same procedure as the substrate processing performed by the process furnace 4A described above.
  • As the processing conditions used when forming the SiO2 film on the wafer W, for example, the following is exemplified.
      • Processing temperature (wafer temperature): 300 degrees C. to 700 degrees C.
      • Processing pressure (pressure in the process chamber): 1 Pa to 4,000 Pa,
      • DCS gas: 100 sccm to 10,000 sccm,
      • O2 gas: 100 sccm to 10,000 sccm,
      • N2 gas: 100 sccm to 10,000 sccm,
      • By setting the respective processing conditions within the respective ranges, it becomes possible to appropriately perform the film-forming process. Besides, prior to the Film-Forming Process, a conditioning process such as hydrogen termination (hydrophobization), hydroxyl termination (hydrophilization), oxidation, nitridation or the like may be carried out so as to form adsorption sites or reaction sites on the surface of the wafer W at an adequate density.
  • Next, the rear structure of the processing apparatus 2 will be described. For example, when the boat 26A or 26B is broken, it is necessary to replace the boat 26A or 26B. In addition, when the reaction tube 10A or 10B is broken or when the reaction tube 10A or 10B needs to be cleaned, it is necessary to remove the reaction tube 10A or 10B. In this way, when carrying out maintenance in the transfer chamber 6A or 6B or the process furnace 4A or 4B, the maintenance is performed from a maintenance area located behind the back side of the processing apparatus 2.
  • As shown in FIG. 1 , maintenance ports 78A and 78B are formed on the back sides of the transfer chambers 6A and 6B, respectively. The maintenance port 78A is formed on the back of the transfer chamber 6A close to the transfer chamber 6B, and the maintenance port 78B is formed on the back of the transfer chamber 6B close to the transfer chamber 6A. The maintenance ports 78A and 78B are opened and closed by maintenance doors 80A and 80B. The maintenance doors 80A and 80B are configured to be rotatable about hinges 82A and 82B as base axes. The hinge 82A is installed on the transfer chamber 6B side of the transfer chamber 6A, and the hinge 82B is installed on the transfer chamber 6A side of the transfer chamber 6B. That is, the hinges 82A and 82B are installed adjacent to each other in the vicinity of the inner corners of the adjacent surfaces and the back sides of the transfer chambers 6A and 6B. A maintenance area is formed behind a part (first part) of the back side of the processing module 3A that is close to the processing module 3B and behind a part (first part) of the back side of the processing module 3B that is close to the processing module 3A.
  • As shown by phantom lines, the maintenance doors 80A and 80B are horizontally rotated about the hinges 82A and 82B to the back sides of the transfer chambers 6A and 6B, whereby the maintenance ports 78A and 78B are opened. The maintenance door 80A is configured to open left and outward by at least 90 degrees, preferably up to 180 degrees. The maintenance door 80B is configured to open right and outward by at least 90 degrees, preferably up to 180 degrees. That is, the maintenance door 80A rotates clockwise toward the transfer chamber 6A, and the maintenance door 80B rotates counterclockwise toward the transfer chamber 6B. In other words, the maintenance doors 80A and 80B are rotated in opposite directions. The maintenance doors 80A and 80B may be configured to be removable for maintenance.
  • Utility systems 70A and 70B are installed in the vicinity of the back surfaces of the transfer chambers 6A and 6B. In some instances, the utility system 70A faces or is connected with a part (second part) of the back surface of the processing module 3A opposite to the processing module 3B. Further, the utility system 70B faces or is connected with a part (second part) of the back surface of the processing module 3B opposite to the processing module 3A. The utility systems 70A and 70B are arranged to face each other across the maintenance area interposed therebetween. The maintenance of the utility systems 70A and 70B is performed from the space (maintenance area) inside the utility systems 70A and 70B, i.e., between the utility systems 70A and 70B. The utility systems 70A and 70B are composed of exhaust boxes 74A and 74B, supply boxes 72A and 72B, and controller boxes 76A and 76B arranged sequentially from the housing side (the transfer chambers 6A and 6B side). Maintenance ports of the respective boxes of the utility systems 70A and 70B are formed on the inner side (close to the maintenance area). That is, the maintenance ports of the respective boxes of the utility systems 70A and 70B are formed to face each other.
  • The exhaust box 74A is disposed behind a part of the back surface of the transfer chamber 6A and adjoining to an outer corner of the transfer chamber 6A opposite to the transfer chamber 6B. The exhaust box 74B is disposed behind a part of the back side of the transfer chamber 6B and adjoining to an outer corner of the transfer chamber 6B opposite to the transfer chamber 6A. That is, the exhaust boxes 74A and 74B are installed flat (smoothly) such that the outer side surfaces of the transfer chambers 6A and 6B and the outer side surfaces of the exhaust boxes 74A and 74B are connected to be flush (in plane) with each other. The supply box 72A is disposed adjacent to the exhaust box 74A on the side opposite to the side of the exhaust box 74A adjacent to the transfer chamber 6A. The supply box 72B is disposed adjacent to exhaust box 74B on the side opposite to the side of the exhaust box 74B adjacent to the transfer chamber 6B.
  • In a top view, the thickness (the width in the short side direction) of the exhaust boxes 74A and 74B is smaller than the thickness of the supply boxes 72A and 72B. In other words, the supply boxes 72A and 72B near the transfer chambers 6A and 6B, protrude to the maintenance area side more than the exhaust boxes 74A and 74B away from the transfer chambers 6A and 6B. Since an integrated gas system and a large number of incidental facilities are arranged in the supply boxes 72A and 72B, the thickness may be larger than that of the exhaust boxes 74A and 74B in some cases. In such a case, by installing the exhaust boxes 74A and 74B on the front (housing) side, it is possible to secure a large maintenance area facing the maintenance doors 80A and 80B. That is, since the distance between the exhaust boxes 74A and 74B is larger than the distance between the supply boxes 72A and 72B in a top view, it is possible to secure a wider frontage of the maintenance space when installing the exhaust boxes 74A and 74B on the front side than when installing the supply boxes 72A and 72B on the front side. However, one skilled in the art may appreciate that the supply boxes 72A and 72B, the exhaust boxes 74A and 74B, and the controller boxes 76A and 76B can be arranged in that sequence from the front side, respectively if the supply boxes 72A and 72B are thinner than the exhaust boxes 74A and 74B or thin enough for securing a wide frontage.
  • As shown in FIG. 3 , the final valves (the valves 40 a and 40 b positioned at the lowermost stage of the gas supply system) of the gas supply mechanisms 34A and 34B are arranged above the exhaust boxes 74A and 74B. Preferably, the final valves are arranged just above (directly above) the exhaust boxes 74A and 74B. With such a configuration, even if the supply boxes 72A and 72B are installed away from the housing side, it is possible to shorten the pipe length from the final valves to the inside of the process chamber. This makes it possible to improve the quality of film formation.
  • As shown in FIG. 5 , the processing modules 3A and 3B are arranged plane-symmetrically with respect to the adjacent surface S1 of the processing modules 3A and 3B. Further, the utility systems 70A and 70B are arranged plane-symmetrically with respect to the adjacent surface S1. The reaction tubes 10A and 10B are installed so that each of the exhaust pipes 46A and 46B extends along the direction of the corner portion, i.e., so that the exhaust pipes 46A and 46B extend along the directions of the exhaust boxes 74A and 74B, respectively. In addition, pipes are arranged so that the pipe length from the final valves to the nozzles becomes substantially the same in the processing modules 3A and 3B. Moreover, as indicated by arrows in FIG. 5 , the rotation directions of the wafers W are also opposite to each other in the process furnaces 4A and 4B.
  • Next, the maintenance of the processing apparatus 2 will be described. In the case where the inside of the transfer chamber 6A is circulation-purged by an inert gas, the interlock is set so that the maintenance door 80A cannot be opened. In addition, even when the oxygen concentration in the transfer chamber 6A is lower than the oxygen concentration at the atmospheric pressure, the interlock is set so that the maintenance door 80A cannot be opened. This also applies to the maintenance door 80B. Furthermore, when the maintenance doors 80A and 80B are opened, the interlock is set so that the gate valves 90A and 90B cannot be opened. In the case where the gate valves 90A and 90B are opened while opening the maintenance doors 80A and 80B, the entire processing apparatus 2 is brought into a maintenance mode and then the maintenance switch separately installed is turned on, whereby the interlock with respect to the gate valve 90A and 90B is released. This makes it possible to open the gate valves 90A and 90B.
  • When opening the maintenance door 80A, the air is caused to flow from the clean unit 60A into the transfer chamber 6A in order to raise the oxygen concentration in the transfer chamber 6A to an oxygen concentration in the atmosphere or more, preferably to an oxygen concentration in the atmosphere. At this time, the circulation purge in the transfer chamber 6A is released so that the pressure in the transfer chamber 6A does not become higher than the pressure in the transshipment chamber 8. The atmosphere in the transfer chamber 6A is exhausted to the outside of the transfer chamber 6A. The number of revolutions of a fan of the clean unit 60A is made lower than the number of revolutions during the circulation purge to control the inflow amount of the air into the transfer chamber 6A. By controlling in this way, it is possible to maintain the pressure in the transfer chamber 6A lower than the pressure in the transshipment chamber 8 while increasing the oxygen concentration in the transfer chamber 6A.
  • When the oxygen concentration in the transfer chamber 6A becomes equal to the oxygen concentration in the atmospheric pressure, the interlock is released and the maintenance door 80A can be opened. At this time, even if the oxygen concentration in the transfer chamber 6A is equal to the oxygen concentration in the atmospheric pressure, when the pressure in the transfer chamber 6A is higher than the pressure in the transshipment chamber 8, the interlock is set so that the maintenance door 80A cannot be opened. When the maintenance door 80A is opened, the number of revolutions of a fan of the clean unit 60A is set to be larger than the number of revolutions at the time of the circulation purge. More preferably, the number of revolutions of the fan of the clean unit 60A is maximized.
  • The maintenance in the transshipment chamber 8 is performed from the maintenance port 78C formed in the front of the transshipment chamber 8 and in the portion where the pod opener is not installed. The maintenance port 78C is configured to be opened and closed by a maintenance door. As described above, when the entire processing apparatus 2 is set in the maintenance mode, the gate valves 90A and 90B are opened and the maintenance can be performed from the side of the gate valves 90A and 90B. That is, the maintenance in the transshipment chamber 8 can be performed from the front side of the apparatus, from the back side of the apparatus, or from both sides.
  • Effect of the Present Embodiment
  • According to the present embodiment, one or more of the following effects may be obtained.
  • (1) By arranging from thinner boxes to thicker boxes from the housing side in the utility systems, it is possible to widen the maintenance area on the back side of the processing apparatus. Thus, it is possible to widely form the maintenance port on the back face of the transfer chamber, and thereby improve the maintainability. Furthermore, by widening the maintenance area on the back side of the processing apparatus, it is unnecessary to secure maintenance areas on both sides of the apparatus. This makes it possible to reduce the footprint of the apparatus.
  • (2) By installing the utility systems of the right and left processing modules on both outer side surfaces of the processing apparatus so as to face each other, it is possible to use the space on the back side of the apparatus as a maintenance area common to the left and right processing modules. For example, in a conventional apparatus, a supply box and an exhaust box may be installed on both ends of the back surface of the apparatus so as to face each other. In the case where two apparatuses having such a configuration are arranged side by side, the exhaust box of one of the apparatuses and the supply box of the other apparatus are adjacent to each other on the boundary line between the two apparatuses. On the other hand, according to the present embodiment, the utility systems are not arranged on the boundary line between the two processing modules. This makes it possible to secure a wide maintenance area.
  • (3) By installing the final valves of the gas supply system above the exhaust boxes, it is possible to shorten the pipe length from the final valves to the process chamber. That is, it is possible to suppress gas delay, flow rate fluctuation and the like at the time of gas supply, thereby improving the quality of film formation. Usually, the quality of film formation is influenced by gas supply conditions such as a gas flow rate and a gas pressure. Therefore, it is preferable to install the supply box near the housing in order to stably supply a gas into the reaction tube. However, in the present disclosure, by installing the final valves near the reaction tube, it is possible to arrange the supply boxes at a position distant from the housing without adversely affecting the quality of film formation. Furthermore, by disposing the exhaust boxes below the exhaust pipe extending from the processing container (reaction tube) and by arranging the final valves just above the exhaust pipe, it is possible to shorten the pipe length to the process chamber. Furthermore, by installing the final valves directly above the exhaust boxes, it becomes easy to perform maintenance such as replacement of the final valves and the like.
  • (4) By disposing the respective components line-symmetrically with respect to the boundary between the processing modules, it is possible to suppress variations in film formation quality between the left and right processing modules. In other words, by line-symmetrically arranging the respective components in the processing modules, the utility systems, the gas supply pipes and the exhaust pipes, the pipe length from the supply boxes to the reaction tube and the pipe length from the reaction tube to the exhaust boxes can be made substantially the same between the left and right processing modules. As a result, film formation can be performed under the same conditions in the left and right processing modules, and the quality of film formation can be made uniform. Therefore, it is possible to improve the productivity.
  • (5) By installing the maintenance doors on the boundary side of the two processing modules and allowing the maintenance doors to rotate toward the other processing module, the maintenance door can be opened substantively by 180 degrees in a manner such that opened one of the doors overlaps with another door closed, and the maintenance port can be widely formed on the back side of the transfer chamber. This makes it possible to improve the maintainability.
  • (6) It is possible to perform maintenance in the other processing module or the transshipment chamber while performing substrate processing in one processing module. As a result, maintenance can be performed without stopping the film-forming process. Therefore, it is possible to increase the operation rate of the apparatus and to improve the productivity.
  • (7) When opening the maintenance door of one processing module, the oxygen concentration in the transfer chamber is increased to the oxygen concentration at the atmospheric pressure while maintaining the pressure in the transfer chamber lower than the pressure in the transshipment chamber, whereby it is possible to suppress the inflow of the atmosphere from the transfer chamber toward the transshipment chamber. Furthermore, after the maintenance door is opened, the number of revolutions of the fan of the clean unit in the transfer chamber is made higher than that during the circulation purge, whereby even after the maintenance door is opened (even after the transfer chamber is opened to the atmosphere), it is possible to suppress the inflow of the atmosphere from the transfer chamber toward the transshipment chamber. With such a configuration, even if the maintenance door is opened in one of the processing modules, the other processing module can be kept running. That is, even when maintenance is performed in the transfer chamber, it is possible to maintain a clean atmosphere in the transshipment chamber, and it is possible to suppress an increase in the oxygen concentration in the transshipment chamber. Therefore, the stopped processing module can be subjected to maintenance without adversely affecting the processing module under operation. As a result, the maintenance of the other processing module can be performed in a state in which one processing module is operated. Therefore, there is no need to stop the operations of all the processing apparatuses at the time of maintenance. This makes it possible to improve the productivity.
  • The embodiment of the present disclosure has been concretely described above. However, the present disclosure is not limited to the above-described embodiment, and various modifications may be made without departing from the spirit thereof.
  • For example, in the above-described embodiment, there has been described an example in which the DCS gas is used as a precursor gas. However, the present disclosure is not limited to such an example. For example, as the precursor gas, in addition to the DCS gas, it may be possible to use an inorganic halosilane precursor gas such as a HCD (Si2Cl6: hexachlorodisilane) gas, a MCS (SiH3Cl: monochlorosilane) gas, a TCS (SiHCl3: trichlorosilane) gas or the like, a halogen-group-free amino (amine)-based silane precursor gas such as a 3DMAS (Si[N(CH3)2]3H: trisdimethylaminosilane) gas, a BTBAS (SiH2[NH(C4H9)]2: bis-tertiary butyl aminosilane) gas or the like, and a halogen-group-free inorganic silane precursor gas such as a MS (SiH4: monosilane) gas, a DS (Si2H6: disilane) gas or the like.
  • For example, in the above-described embodiment, there has been described an example in which the SiO2 film is formed. However, the present disclosure is not limited to such an example. For example, alternatively or additionally, a SiN film, a SiON film, a SiOCN film, a SiOC film, a SiCN film, a SiBN film, a SiBCN film or the like may be formed by using a nitrogen (N)-containing gas (nitriding gas) such as an ammonia (NH3) gas or the like, a carbon (C)-containing gas such as a propylene (C3H6) gas or the like, a boron (B)-containing gas such as a boron trichloride (BCl3) gas or the like, and so forth. Even in the case of forming these films, film formation may be performed under the same processing conditions as in the above-described embodiment, and the effects as those of the above-described embodiment may be obtained.
  • Further, for example, the present disclosure may also be suitably applied to a case where a film containing a metal element such as titanium (Ti), zirconium (Zr), hafnium (Hf), tantalum (Ta), niobium (Nb), aluminum (Al), molybdenum (Mo), tungsten (W) or the like, i.e., a metal-based film is formed on the wafer W.
  • In the above-described embodiment, there has been described an example where a film is deposited on the wafer W. However, the present disclosure is not limited to such an example. For example, the present disclosure may also be suitably applied to a case where a process such as an oxidation process, a diffusion process, an annealing process, an etching process or the like is performed on a wafer W or a film formed on the wafer W.
  • In addition, the above-described embodiment and modifications may be used in combination as appropriate. The processing conditions at this time may be, for example, the same processing conditions as those of the above-described embodiment and modifications.

Claims (20)

What is claimed is:
1. A substrate processing apparatus comprising:
a first process module that includes a first process container for processing a substrate;
a second process module that is disposed adjacent to a side surface of the first process module and includes a second process container for processing a substrate;
a first utility system that is disposed adjacent to extend backward from the first process module and includes at least one selected from the group of:
a first exhaust part configured to exhaust an inside of the first process container; and
a first supply part configured to supply a process gas into the first process container;
a second utility system that is disposed adjacent to extend backward from the second process module and includes at least one selected from the group of:
a second exhaust part configured to exhaust an inside of the second process container; and
a second supply part configured to supply a process gas into the second process container; and
a maintenance area formed continuously between the first utility system and the second utility system, behind the first process module and the second process module,
wherein the first utility system is installed along an outer side surface of the substrate processing apparatus opposite to the second utility system,
wherein the second utility system is installed along another outer side surface of the substrate processing apparatus opposite to the first utility system,
wherein the maintenance area is configured to be commonly used for the first process module and the second process module.
2. The substrate processing apparatus of claim 1, wherein a first maintenance way is formed on the first process module to face the maintenance area, and a second maintenance way is formed on the second process module to face the maintenance area.
3. The substrate processing apparatus of claim 2, wherein the maintenance area is formed without intervening structures at a height corresponding to the first maintenance way and the second maintenance way.
4. The substrate processing apparatus of claim 1, wherein
the first process module and the second process module are connected at respective sides,
between the first process module and the second process module there is a boundary line which divides the maintenance area into two equal halves, and
the first process container is not capable of being be moved out of the first process module through only one of two halves of the maintenance area and the first process container is capable of being moved out of the first process module through both of the two halves.
5. The substrate processing apparatus of claim 2, wherein the first maintenance way and the second maintenance way are configured to be able to open and close.
6. The substrate processing apparatus of claim 2, wherein a first maintenance door of the first maintenance way and a second maintenance door of the second maintenance way are openable such that the first maintenance door and the second maintenance door are rotatable in opposite rotation directions with each other toward a surface of the second process module and a surface of the first process module, respectively.
7. The substrate processing apparatus of claim 6, wherein the first maintenance door is configured to be openable by moving beyond a boundary line between the first process module and the second process module or the second maintenance door is configured to be openable by moving beyond the boundary line.
8. The substrate processing apparatus of claim 1, wherein widths of near portions of the first utility system and the second utility system that are respectively close to the first process module and the second process module are narrower than widths of far portions of the first utility system and the second utility system that are respectively far from the first process module or the second process module, and
wherein a distance at the near portions between the first utility system and the second utility system is larger than a distance at the far portions between the first utility system and the second utility system.
9. The substrate processing apparatus of claim 1, wherein a distance at front surfaces between the first utility system and the second utility system is different to a distance at back surfaces between the first utility system and the second utility system.
10. The substrate processing apparatus of claim 1, wherein the first utility system is aligned with an outermost side surface of the substrate processing apparatus opposite to the second utility system,
wherein the second utility system is aligned with an another outermost side surface of the substrate processing apparatus opposite to the first utility system.
11. The substrate processing apparatus of claim 1, wherein the first process module comprises a first transfer chamber that is disposed below the first process container, and is configured to transfer the substrate into and out of the first process container,
wherein the second process module comprises a second transfer chamber that is disposed below the second process container, and is configured to transfer the substrate into and out of the second process container, and
wherein the first transfer chamber and the second transfer chamber include fans installed on respective outer side surfaces and configured to supply clean air.
12. The substrate processing apparatus of claim 11, wherein the first transfer chamber comprises:
a first elevator configured to load into and unload from the first process container;
a first substrate holder for holding the substrate; and
a first maintenance door,
wherein the second transfer chamber comprises:
a second elevator configured to load into and unload from the second process container;
a second substrate holder for holding the substrate; and
a second maintenance door, and
wherein the first process container can be moved out of the first process module through the maintenance area.
13. The substrate processing apparatus of claim 1, further comprising:
a first exhaust pipe that extends from the first process container toward the first exhaust part; and
a second exhaust pipe that extends from the second process container toward the second exhaust part.
14. The substrate processing apparatus of claim 1, further comprising:
a first exhaust pipe that extends from the first process container toward a corner of the first process module where the first process module is connected to the first exhaust part or the first utility system; and
a second exhaust pipe that extends from the second process container toward a corner of the second process module where the second process module is connected to the second exhaust part or the second utility system.
15. The substrate processing apparatus of claim 1, wherein maintenance ports of the first supply part and maintenance ports of the second supply part are disposed to face each other across the maintenance area.
16. The substrate processing apparatus of claim 1, further comprising:
a first transfer chamber that is disposed below the first process container in the first process module, and is configured to transfer the substrate into and out of the first process container;
a second transfer chamber that is disposed below the second process container in the second process module, and is configured to transfer the substrate into and out of the second process container; and
a transshipment chamber that is disposed adjacent to the first transfer chamber and the second transfer chamber, and is configured to transship the substrate to a first substrate holder within the first transfer chamber and a second substrate holder within the second transfer chamber.
17. The substrate processing apparatus of claim 16, further comprising a controller configured to control at least a pressure in the first transfer chamber and a pressure in the transshipment chamber disposed adjacent to the first transfer chamber,
wherein the controller is configured to, before maintenance in the first transfer chamber is performed from the maintenance area, increase an oxygen concentration in the first transfer chamber while maintaining the pressure in the first transfer chamber to be lower than the pressure in the transshipment chamber, and then to release an interlock of a first maintenance door.
18. The substrate processing apparatus of claim 17, wherein the first utility system includes a first controller box that is arranged at a back side of the first utility system; and the second utility system includes a second controller box that is arranged at a back side of second utility system.
19. A substrate processing apparatus comprising:
a first process module that includes a first process container for processing a substrate and a first opening for maintenance;
a second process module that is disposed adjacent to a side surface of the first process module and includes a second process container for processing a substrate and a second opening for maintenance;
a first utility system that is disposed adjacent to extend backward from the first process module and includes a first supply part configured to supply a process gas into the first process container;
a first exhaust part configured to exhaust an inside of the first process container;
a second utility system that is disposed adjacent to extend backward from the second process module and includes a second supply part configured to supply a process gas into the second process container;
a second exhaust part configured to exhaust an inside of the second process container; and
a maintenance area formed continuously between the first utility system and the second utility system, behind the first process module and the second process module without intervening structures at a height corresponding to the first opening and the second opening,
wherein the maintenance area is configured to be commonly used for the first process module and the second process module.
20. A method of processing substrates comprising:
providing the substrate processing apparatus of claim 1; and
loading a substrate in at least one of the first process container and the second process container;
supplying a processing gas into the at least one of the first process container and the second process container; and
exhausting an inside of the at least one of the first process container and the second process container.
US17/951,389 2016-06-30 2022-09-23 Substrate processing apparatus and method of manufacturing semiconductor device Pending US20230016879A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/951,389 US20230016879A1 (en) 2016-06-30 2022-09-23 Substrate processing apparatus and method of manufacturing semiconductor device

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
PCT/JP2016/069486 WO2018003072A1 (en) 2016-06-30 2016-06-30 Substrate processing device, method for manufacturing semiconductor device, and recording medium
US16/234,972 US10636681B2 (en) 2016-06-30 2018-12-28 Substrate processing apparatus and method of manufacturing semiconductor device
US16/572,184 US11062918B2 (en) 2016-06-30 2019-09-16 Substrate processing apparatus and method of manufacturing semiconductor device
US17/219,592 US11456190B2 (en) 2016-06-30 2021-03-31 Substrate processing apparatus and method of manufacturing semiconductor device
US17/951,389 US20230016879A1 (en) 2016-06-30 2022-09-23 Substrate processing apparatus and method of manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US17/219,592 Continuation US11456190B2 (en) 2016-06-30 2021-03-31 Substrate processing apparatus and method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US20230016879A1 true US20230016879A1 (en) 2023-01-19

Family

ID=60786200

Family Applications (4)

Application Number Title Priority Date Filing Date
US16/234,972 Active US10636681B2 (en) 2016-06-30 2018-12-28 Substrate processing apparatus and method of manufacturing semiconductor device
US16/572,184 Active 2036-07-11 US11062918B2 (en) 2016-06-30 2019-09-16 Substrate processing apparatus and method of manufacturing semiconductor device
US17/219,592 Active US11456190B2 (en) 2016-06-30 2021-03-31 Substrate processing apparatus and method of manufacturing semiconductor device
US17/951,389 Pending US20230016879A1 (en) 2016-06-30 2022-09-23 Substrate processing apparatus and method of manufacturing semiconductor device

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US16/234,972 Active US10636681B2 (en) 2016-06-30 2018-12-28 Substrate processing apparatus and method of manufacturing semiconductor device
US16/572,184 Active 2036-07-11 US11062918B2 (en) 2016-06-30 2019-09-16 Substrate processing apparatus and method of manufacturing semiconductor device
US17/219,592 Active US11456190B2 (en) 2016-06-30 2021-03-31 Substrate processing apparatus and method of manufacturing semiconductor device

Country Status (6)

Country Link
US (4) US10636681B2 (en)
JP (1) JP6621921B2 (en)
KR (9) KR101969275B1 (en)
CN (5) CN117855105A (en)
SG (1) SG11201811656VA (en)
WO (1) WO2018003072A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11935762B2 (en) 2020-03-27 2024-03-19 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN117855105A (en) * 2016-06-30 2024-04-09 株式会社国际电气 Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102151323B1 (en) 2017-02-17 2020-09-02 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, manufacturing method of semiconductor device, and program recorded on recording medium
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN117536862A (en) * 2018-03-06 2024-02-09 株式会社国际电气 Pump, processing apparatus, exhaust system, and method for manufacturing semiconductor device
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR101930456B1 (en) * 2018-05-03 2018-12-18 주식회사 유진테크 System for processing substrate
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6896682B2 (en) * 2018-09-04 2021-06-30 株式会社Kokusai Electric Manufacturing method of substrate processing equipment and semiconductor equipment
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
JP7175201B2 (en) * 2019-01-10 2022-11-18 東京エレクトロン株式会社 processing equipment
JP7105751B2 (en) * 2019-01-10 2022-07-25 東京エレクトロン株式会社 processing equipment
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP6900412B2 (en) * 2019-03-20 2021-07-07 株式会社Kokusai Electric Manufacturing methods and programs for substrate processing equipment and semiconductor equipment
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP6980719B2 (en) * 2019-06-28 2021-12-15 株式会社Kokusai Electric Manufacturing method of substrate processing equipment and semiconductor equipment
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023159478A (en) * 2020-09-25 2023-11-01 株式会社Kokusai Electric Substrate processing device, manufacturing method of semiconductor device and program
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
US20220130698A1 (en) * 2020-10-22 2022-04-28 Nanya Technology Corporation Gas purge device and gas purging method
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102641087B1 (en) * 2021-07-23 2024-02-28 ㈜온새미로 Vertical furnace for mapping wafers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5464313A (en) * 1993-02-08 1995-11-07 Tokyo Electron Kabushiki Kaisha Heat treating apparatus

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
FR2761485B1 (en) * 1997-03-28 1999-06-11 Instruments Sa OPTICAL FIBER WAVELENGTH MULTIPLEXER-DEMULTIPLEXER
JPH11195688A (en) * 1997-12-26 1999-07-21 Mc Electronics Kk Substrate treatment device
JPH11204449A (en) * 1998-01-14 1999-07-30 Tokyo Electron Ltd Vertical heat treatment system
JP2001023872A (en) * 1999-07-09 2001-01-26 Hitachi Ltd Semiconductor substrate processing apparatus
JP4384770B2 (en) * 2000-01-27 2009-12-16 株式会社日立国際電気 Substrate processing equipment
JP3610900B2 (en) * 2000-11-30 2005-01-19 東京エレクトロン株式会社 Heat treatment equipment
JP4100466B2 (en) * 2000-12-25 2008-06-11 東京エレクトロン株式会社 Liquid processing equipment
JP2002280317A (en) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc Substrate-processing apparatus
US20020170781A1 (en) * 2001-05-18 2002-11-21 Burkholder Robert F. Disk oil slinger assembly
JP4884607B2 (en) * 2001-07-12 2012-02-29 東京エレクトロン株式会社 Heat treatment equipment
JP3605692B2 (en) * 2001-10-24 2004-12-22 東京エレクトロン株式会社 Transport processing method and transport processing device
JP4218821B2 (en) * 2002-06-11 2009-02-04 株式会社日立国際電気 Substrate processing equipment
JP4397646B2 (en) 2003-07-30 2010-01-13 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP4559427B2 (en) 2004-07-13 2010-10-06 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US7918940B2 (en) 2005-02-07 2011-04-05 Semes Co., Ltd. Apparatus for processing substrate
KR100666349B1 (en) * 2005-03-04 2007-01-11 세메스 주식회사 Deposition apparatus and method for sending back masks in the apparatus
US7553516B2 (en) 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7371998B2 (en) 2006-07-05 2008-05-13 Semitool, Inc. Thermal wafer processor
JP2008091761A (en) 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc Substrate processor, and manufacturing method of semiconductor device
JP5016294B2 (en) * 2006-11-10 2012-09-05 東京エレクトロン株式会社 Substrate processing apparatus and analysis method of the apparatus
JP2012099763A (en) 2010-11-05 2012-05-24 Hitachi Kokusai Electric Inc Substrate processing apparatus and maintenance inspection method of substrate processing apparatus
JP5921168B2 (en) * 2011-11-29 2016-05-24 株式会社日立国際電気 Substrate processing equipment
JP6120621B2 (en) * 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and operation method thereof
JP6151789B2 (en) * 2013-10-10 2017-06-21 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6490409B2 (en) * 2014-03-19 2019-03-27 東レエンジニアリング株式会社 Coating apparatus, coating method, and manufacturing method of display member
CN117855105A (en) * 2016-06-30 2024-04-09 株式会社国际电气 Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5464313A (en) * 1993-02-08 1995-11-07 Tokyo Electron Kabushiki Kaisha Heat treating apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11935762B2 (en) 2020-03-27 2024-03-19 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Also Published As

Publication number Publication date
CN110265322B (en) 2020-10-30
KR101969275B1 (en) 2019-04-15
US20210217634A1 (en) 2021-07-15
KR20180054788A (en) 2018-05-24
KR20220078725A (en) 2022-06-10
US20200013639A1 (en) 2020-01-09
KR101969277B1 (en) 2019-04-15
US11456190B2 (en) 2022-09-27
CN109075020B (en) 2024-03-05
CN110265322A (en) 2019-09-20
CN117855105A (en) 2024-04-09
KR101943808B1 (en) 2019-01-29
US20190198359A1 (en) 2019-06-27
CN109075020A (en) 2018-12-21
KR20180107305A (en) 2018-10-01
WO2018003072A1 (en) 2018-01-04
US11062918B2 (en) 2021-07-13
KR20180107301A (en) 2018-10-01
JP6621921B2 (en) 2019-12-18
KR20180107303A (en) 2018-10-01
KR20240017095A (en) 2024-02-06
KR101974327B1 (en) 2019-04-30
KR20180107304A (en) 2018-10-01
KR20190045410A (en) 2019-05-02
SG11201811656VA (en) 2019-01-30
KR101969274B1 (en) 2019-04-15
CN117894718A (en) 2024-04-16
US10636681B2 (en) 2020-04-28
JPWO2018003072A1 (en) 2019-01-17
KR101969276B1 (en) 2019-04-15
KR20180107306A (en) 2018-10-01
CN117855106A (en) 2024-04-09

Similar Documents

Publication Publication Date Title
US11456190B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP7429747B2 (en) Substrate processing equipment, semiconductor device manufacturing method and program
JP6591710B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP6591711B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP6616917B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP2017069330A (en) Method of manufacturing semiconductor device, gas supply method, substrate processing device, and substrate holding tool
JP6625256B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
JP6591712B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
CN216049147U (en) Protective member for work
CN117913000A (en) Substrate processing apparatus, method for manufacturing semiconductor device, and recording medium

Legal Events

Date Code Title Description
AS Assignment

Owner name: KOKUSAI ELECTRIC CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAMIMURA, DAIGI;TANIYAMA, TOMOSHI;NOGAMI, TAKASHI;REEL/FRAME:061194/0395

Effective date: 20181203

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED