KR20180107306A - 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 Download PDF

Info

Publication number
KR20180107306A
KR20180107306A KR1020187027070A KR20187027070A KR20180107306A KR 20180107306 A KR20180107306 A KR 20180107306A KR 1020187027070 A KR1020187027070 A KR 1020187027070A KR 20187027070 A KR20187027070 A KR 20187027070A KR 20180107306 A KR20180107306 A KR 20180107306A
Authority
KR
South Korea
Prior art keywords
processing
chamber
processing module
exhaust
substrate
Prior art date
Application number
KR1020187027070A
Other languages
English (en)
Other versions
KR101969277B1 (ko
Inventor
다이기 가미무라
다까시 노가미
도모시 다니야마
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=60786200&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20180107306(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20180107306A publication Critical patent/KR20180107306A/ko
Application granted granted Critical
Publication of KR101969277B1 publication Critical patent/KR101969277B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Abstract

본 발명의 과제는 메인터넌스 에어리어를 확보하면서 풋프린트를 저감시키는 것이다.
기판을 처리하는 제1 처리 용기를 갖는 제1 처리 모듈과, 제1 처리 용기에 인접하여 배치되고, 기판을 처리하는 제2 처리 용기를 갖는 제2 처리 모듈과, 제1 처리 모듈 배면에 인접하여 배치되고, 제1 처리 용기 내를 배기하는 제1 배기계가 수납된 제1 배기 박스와, 제1 배기 박스의 제1 처리 모듈 배면과 인접하는 측과 반대측에 인접하여 배치되고, 제1 처리 용기 내에 처리 가스를 공급하는 제1 공급계가 수납된 제1 공급 박스와, 제2 처리 모듈 배면에 인접하여 배치되고, 제2 처리 용기 내를 배기하는 제2 배기계가 수납된 제2 배기 박스와, 제2 배기 박스의 제2 처리 모듈 배면과 인접하는 측과 반대측에 인접하여 배치되고, 제2 처리 용기 내에 처리 가스를 공급하는 제2 공급계가 수납된 제2 공급 박스를 구비하고, 제1 배기 박스는 제1 처리 모듈 배면에 있어서의 제2 처리 모듈측과는 반대측에 위치하는 외측 코너부에 배치되고, 제2 배기 박스는 제2 처리 모듈 배면에 있어서의 제1 처리 모듈측과는 반대측에 위치하는 외측 코너부에 배치된다.

Description

기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체{SUBSTRATE PROCESSING DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM}
본 발명은 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
반도체 장치(디바이스)의 제조 공정에 있어서의 기판 처리에서는, 예를 들어 복수매의 기판을 일괄하여 처리하는 종형 기판 처리 장치가 사용되고 있다. 기판 처리 장치의 메인터넌스 시에는, 기판 처리 장치 주변에 메인터넌스 에어리어를 확보할 필요가 있고, 메인터넌스 에어리어를 확보하기 위해, 기판 처리 장치의 풋프린트가 커져 버리는 경우가 있다(예를 들어, 특허문헌 1).
일본 특허 공개 제2010-283356호 공보
본 발명은 이와 같은 사정을 감안하여 이루어진 것이고, 그 목적은 메인터넌스 에어리어를 확보하면서 풋프린트를 저감시키는 것이 가능한 기술을 제공하는 데 있다.
본 발명의 일 형태에 의하면,
기판을 처리하는 제1 처리 용기를 갖는 제1 처리 모듈과,
상기 제1 처리 용기에 인접하여 배치되고, 상기 기판을 처리하는 제2 처리 용기를 갖는 제2 처리 모듈과,
상기 제1 처리 모듈 배면에 인접하여 배치되고, 상기 제1 처리 용기 내를 배기하는 제1 배기계가 수납된 제1 배기 박스와,
상기 제1 배기 박스의 상기 제1 처리 모듈 배면과 인접하는 측과 반대측에 인접하여 배치되고, 상기 제1 처리 용기 내에 처리 가스를 공급하는 제1 공급계가 수납된 제1 공급 박스와,
상기 제2 처리 모듈 배면에 인접하여 배치되고, 상기 제2 처리 용기 내를 배기하는 제2 배기계가 수납된 제2 배기 박스와,
상기 제2 배기 박스의 상기 제2 처리 모듈 배면과 인접하는 측과 반대측에 인접하여 배치되고, 상기 제2 처리 용기 내에 처리 가스를 공급하는 제2 공급계가 수납된 제2 공급 박스를 구비하고,
상기 제1 배기 박스는 상기 제1 처리 모듈 배면에 있어서의 상기 제2 처리 모듈측과는 반대측에 위치하는 외측 코너부에 배치되고, 상기 제2 배기 박스는 상기 제2 처리 모듈 배면에 있어서의 상기 제1 처리 모듈측과는 반대측에 위치하는 외측 코너부에 배치되는 기술이 제공된다.
본 발명에 따르면, 메인터넌스 에어리어를 확보하면서 풋프린트를 저감시키는 것이 가능해진다.
도 1은 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 일례를 개략적으로 나타내는 상면도이다.
도 2는 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 일례를 개략적으로 나타내는 종단면도이다.
도 3은 본 발명의 실시 형태에서 적합하게 사용되는 기판 처리 장치의 일례를 개략적으로 나타내는 종단면도이다.
도 4는 본 발명의 실시 형태에서 적합하게 사용되는 처리로의 일례를 개략적으로 나타내는 종단면도이다.
도 5는 본 발명의 실시 형태에서 적합하게 사용되는 처리 모듈의 일례를 개략적으로 나타내는 횡단면도이다.
이하, 도면을 참조하면서, 본 발명의 한정적이지 않은 예시의 실시 형태에 대하여 설명한다. 전체 도면 중, 동일 또는 대응하는 구성에 대해서는, 동일 또는 대응하는 참조 부호를 부여하여, 중복되는 설명을 생략한다. 또한, 후술하는 수납실(9)측을 정면측(전방측), 후술하는 반송실(6A, 6B)측을 배면측(후방측)이라고 한다. 또한, 후술하는 처리 모듈(3A, 3B)의 경계선(인접면)을 향하는 측을 내측, 경계선으로부터 이격되는 측을 외측이라고 한다.
본 실시 형태에 있어서, 기판 처리 장치는, 반도체 장치(디바이스)의 제조 방법에 있어서의 제조 공정의 일 공정으로서 열처리 등의 기판 처리 공정을 실시하는 종형 기판 처리 장치(이하, 처리 장치라고 칭함)(2)로서 구성되어 있다.
도 1, 2에 나타낸 바와 같이, 처리 장치(2)는 인접하는 2개의 처리 모듈(3A, 3B)을 구비하고 있다. 처리 모듈(3A)은 처리로(4A)와 반송실(6A)에 의해 구성된다. 처리 모듈(3B)은 처리로(4B)와 반송실(6B)에 의해 구성된다. 처리로(4A, 4B)의 하방에는 반송실(6A, 6B)이 각각 배치되어 있다. 반송실(6A, 6B)의 정면측에 인접하고, 웨이퍼 W를 이동 탑재하는 이동 탑재기(7)를 구비하는 이동 탑재실(8)이 배치되어 있다. 이동 탑재실(8)의 정면측에는 웨이퍼 W를 복수매 수납하는 포드(후프)(5)를 수납하는 수납실(9)이 연결되어 있다. 수납실(9)의 전체면에는 I/O 포트(22)가 설치되고, I/O 포트(22)를 통해 처리 장치(2) 내외로 포드(5)가 반출입된다.
반송실(6A, 6B)과 이동 탑재실(8)의 경계벽(인접면)에는 게이트 밸브(90A, 90B)가 각각 설치된다. 이동 탑재실(8) 내 및 반송실(6A, 6B) 내에는 압력 검지기가 각각에 설치되어 있고, 이동 탑재실(8) 내의 압력은 반송실(6A, 6B) 내의 압력보다도 낮아지도록 설정되어 있다. 또한, 이동 탑재실(8) 내 및 반송실(6A, 6B) 내에는 산소 농도 검지기가 각각에 설치되어 있고, 이동 탑재실(8) 내 및 반송실(6A, 6B) 내의 산소 농도는 대기 중에 있어서의 산소 농도보다도 낮게 유지되어 있다. 이동 탑재실(8)의 천장부에는 이동 탑재실(8) 내에 클린 에어를 공급하는 클린 유닛(62C)이 설치되어 있고, 이동 탑재실(8) 내에 클린 에어로서, 예를 들어 불활성 가스를 순환시키도록 구성되어 있다. 이동 탑재실(8) 내를 불활성 가스로 순환 퍼지함으로써, 이동 탑재실(8) 내를 청정한 분위기로 할 수 있다. 이와 같은 구성에 의해, 이동 탑재실(8) 내에 반송실(6A, 6B) 내의 파티클 등이 혼입되는 것을 억제할 수 있고, 이동 탑재실(8) 내 및 반송실(6A, 6B) 내에서 웨이퍼 W 위에 자연 산화막이 형성되는 것을 억제할 수 있다.
처리 모듈(3A) 및 처리 모듈(3B)은 동일한 구성을 구비하기 때문에, 이하에 있어서는, 대표로 처리 모듈(3A)에 대해서만 설명한다.
도 4에 나타낸 바와 같이, 처리로(4A)는 원통 형상의 반응관(10A)과, 반응관(10A)의 외주에 설치된 가열 수단(가열 기구)으로서의 히터(12A)를 구비한다. 반응관은, 예를 들어 석영이나 SiC에 의해 형성된다. 반응관(10A)의 내부에는 기판으로서의 웨이퍼 W를 처리하는 처리실(14A)이 형성된다. 반응관(10A)에는 온도 검출기로서의 온도 검출부(16A)가 설치된다. 온도 검출부(16A)는 반응관(10A)의 내벽을 따라 세워 설치되어 있다.
기판 처리에 사용되는 가스는 가스 공급계로서의 가스 공급 기구(34A)에 의해 처리실(14A) 내에 공급된다. 가스 공급 기구(34A)가 공급하는 가스는 성막되는 막의 종류에 따라 바뀐다. 여기서는, 가스 공급 기구(34A)는 원료 가스 공급부, 반응 가스 공급부 및 불활성 가스 공급부를 포함한다. 가스 공급 기구(34A)는 후술하는 공급 박스(72A)에 수납되어 있다.
원료 가스 공급부는 가스 공급관(36a)을 구비하고, 가스 공급관(36a)에는 상류 방향으로부터 차례로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(38a) 및 개폐 밸브인 밸브(40a)가 설치되어 있다. 가스 공급관(36a)은 매니폴드(18)의 측벽을 관통하는 노즐(44a)에 접속된다. 노즐(44a)은 반응관(10) 내에 상하 방향을 따라 세워 설치하고, 보트(26)에 보유 지지되는 웨이퍼 W를 향해 개구되는 복수의 공급 구멍이 형성되어 있다. 노즐(44a)의 공급 구멍을 통해 웨이퍼 W에 대하여 원료 가스가 공급된다.
이하, 동일한 구성으로, 반응 가스 공급부로부터는, 공급관(36b), MFC(38b), 밸브(40b) 및 노즐(44b)을 통해, 반응 가스가 웨이퍼 W에 대하여 공급된다. 불활성 가스 공급부로부터는, 공급관(36c, 36d), MFC(38c, 38d), 밸브(40c, 40d) 및 노즐(44a, 44b)을 통해, 웨이퍼 W에 대하여 불활성 가스가 공급된다.
반응관(10A)의 하단 개구부에는 원통형의 매니폴드(18A)가, O링 등의 시일 부재를 통해 연결되고, 반응관(10A)의 하단을 지지하고 있다. 매니폴드(18A)의 하단 개구부는 원반상의 덮개부(22A)에 의해 개폐된다. 덮개부(22A)의 상면에는 O링 등의 시일 부재가 설치되어 있고, 이에 의해, 반응관(10A) 내와 외기가 기밀하게 시일된다. 덮개부(22A) 위에는 단열부(24A)가 적재된다.
매니폴드(18A)에는 배기관(46A)이 설치되어 있다. 배기관(46A)에는 처리실(14A) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(48A) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(40A)를 통해, 진공 배기 장치로서의 진공 펌프(52A)가 접속되어 있다. 이와 같은 구성에 의해, 처리실(14A) 내의 압력을 처리에 따른 처리 압력으로 할 수 있다. 주로, 배기관(46A), APC 밸브(40A), 압력 센서(48A)에 의해, 배기계 A가 구성된다. 배기계 A는 후술하는 배기 박스(74A)에 수납되어 있다.
처리실(14A)은 복수매, 예를 들어 25 내지 150매의 웨이퍼 W를 수직으로 선반상으로 지지하는 기판 보유 지지구로서의 보트(26A)를 내부에 수납한다. 보트(26A)는 덮개부(22A) 및 단열부(24A)를 관통하는 회전축(28A)에 의해, 단열부(24A)의 상방에 지지된다. 회전축(28A)은 덮개부(22A)의 하방에 설치된 회전 기구(30A)에 접속되어 있고, 회전축(28A)은 반응관(10A)의 내부를 기밀하게 시일한 상태에서 회전 가능하게 구성된다. 덮개부(22)는 승강 기구로서의 보트 엘리베이터(32A)에 의해 상하 방향으로 구동된다. 이에 의해, 보트(26A) 및 덮개부(22A)가 일체적으로 승강되고, 반응관(10A)에 대하여 보트(26A)가 반출입된다.
보트(26A)에의 웨이퍼 W의 이동 탑재는 반송실(6A)에서 행해진다. 도 3에 나타낸 바와 같이, 반송실(6A) 내의 일측면[반송실(6A)의 외측 측면, 반송실(6B)에 면하는 측면과 반대측의 측면]에는 클린 유닛(60A)이 설치되어 있고, 반송실(6A) 내에 클린 에어(예를 들어, 불활성 가스)를 순환시키도록 구성되어 있다. 반송실(6A) 내에 공급된 불활성 가스는 보트(26A)를 사이에 두고 클린 유닛(60A)과 대면하는 측면[반송실(6B)에 면하는 측면]에 설치된 배기부(62A)에 의해 반송실(6A) 내로부터 배기되고, 클린 유닛(60A)으로부터 반송실(6A) 내로 재공급된다(순환 퍼지). 반송실(6A) 내의 압력은 이동 탑재실(8) 내의 압력보다도 낮아지도록 설정되어 있다. 또한, 반송실(6A) 내의 산소 농도는 대기 중에 있어서의 산소 농도보다도 낮아지도록 설정되어 있다. 이와 같은 구성에 의해, 웨이퍼 W의 반송 작업 중에 웨이퍼 W 위에 자연 산화막이 형성되는 것을 억제할 수 있다.
회전 기구(30A), 보트 엘리베이터(32A), 가스 공급 기구(34A)의 MFC(38a 내지 d) 및 밸브(40a 내지 d), APC 밸브(50A)에는 이것들을 제어하는 컨트롤러(100)가 접속된다. 컨트롤러(100)는, 예를 들어 CPU를 구비한 마이크로프로세서(컴퓨터)를 포함하고, 처리 장치(2)의 동작을 제어하도록 구성된다. 컨트롤러(100)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(102)가 접속되어 있다. 컨트롤러(100)는 처리 모듈(3A)과 처리 모듈(3B)에서 각각에 하나씩 설치되어도 되고, 공통적으로 하나 설치되어도 된다.
컨트롤러(100)에는 기억 매체로서의 기억부(104)가 접속되어 있다. 기억부(104)에는 처리 장치(10)의 동작을 제어하는 제어 프로그램이나, 처리 조건에 따라 처리 장치(2)의 각 구성부에 처리를 실행시키기 위한 프로그램(레시피라고도 함)이 판독 가능하게 저장된다.
기억부(104)는 컨트롤러(100)에 내장된 기억 장치(하드 디스크나 플래시 메모리)여도 되고, 가반성의 외부 기록 장치(자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)여도 된다. 또한, 컴퓨터로의 프로그램의 제공은 인터넷이나 전용 회선 등의 통신 수단을 사용하여 행해도 된다. 프로그램은 필요에 따라, 입출력 장치(102)로부터의 지시 등에 의해 기억부(104)로부터 판독되고, 판독된 레시피에 따른 처리를 컨트롤러(100)가 실행함으로써, 처리 장치(2)는 컨트롤러(100)의 제어 하에서, 원하는 처리를 실행한다. 컨트롤러(100)는 컨트롤러 박스(76A, 76B)에 수납된다.
이어서, 상술한 처리 장치(2)를 사용하여, 기판 위에 막을 형성하는 처리(성막 처리)에 대하여 설명한다. 여기서는, 웨이퍼 W에 대하여, 원료 가스로서 DCS(SiH2Cl2:디클로로실란) 가스와, 반응 가스로서 O2(산소) 가스를 공급함으로써, 웨이퍼 W 위에 실리콘 산화(SiO2)막을 형성하는 예에 대하여 설명한다. 또한, 이하의 설명에 있어서, 처리 장치(2)를 구성하는 각 부의 동작은 컨트롤러(100)에 의해 제어된다.
(웨이퍼 차지 및 보트 로드)
게이트 밸브(90A)를 개방하여, 보트(20A)에 대하여 웨이퍼 W를 반송한다. 복수매의 웨이퍼 W가 보트(26A)에 장전(웨이퍼 차지)되면, 게이트 밸브(90A)가 폐쇄된다. 보트(26A)는 보트 엘리베이터(32A)에 의해 처리실(14) 내에 반입(보트 로드)되고, 반응관(10A)의 하부 개구는 덮개부(22A)에 의해 기밀하게 폐색(시일)된 상태가 된다.
(압력 조정 및 온도 조정)
처리실(14A) 내가 소정의 압력(진공도)이 되도록, 진공 펌프(52A)에 의해 진공 배기(감압 배기)된다. 처리실(14A) 내의 압력은 압력 센서(48A)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(50A)가 피드백 제어된다. 또한, 처리실(14A) 내의 웨이퍼 W가 소정의 온도가 되도록, 히터(12A)에 의해 가열된다. 이때, 처리실(14A)이 소정의 온도 분포가 되도록, 온도 검출부(16A)가 검출한 온도 정보에 기초하여 히터(12A)로의 통전 상태가 피드백 제어된다. 또한, 회전 기구(30A)에 의한 보트(26A) 및 웨이퍼 W의 회전을 개시한다.
(성막 처리)
[원료 가스 공급 공정]
처리실(14A) 내의 온도가 미리 설정된 처리 온도로 안정되면, 처리실(14A) 내의 웨이퍼 W에 대하여 DCS 가스를 공급한다. DCS 가스는 MFC(38a)에 의해 원하는 유량이 되도록 제어되고, 가스 공급관(36a) 및 노즐(44a)을 통해 처리실(14A) 내에 공급된다.
[원료 가스 배기 공정]
이어서, DCS 가스의 공급을 정지하고, 진공 펌프(52A)에 의해 처리실(14A) 내를 진공 배기한다. 이때, 불활성 가스 공급부로부터 불활성 가스로서 N2 가스를 처리실(14A) 내에 공급해도 된다(불활성 가스 퍼지).
[반응 가스 공급 공정]
이어서, 처리실(14A) 내의 웨이퍼 W에 대하여 O2 가스를 공급한다. O2 가스는 MFC(38b)에 의해 원하는 유량이 되도록 제어되고, 가스 공급관(36b) 및 노즐(44b)을 통해 처리실(14A) 내에 공급된다.
[반응 가스 배기 공정]
이어서, O2 가스의 공급을 정지하고, 진공 펌프(52A)에 의해 처리실(14A) 내를 진공 배기한다. 이때, 불활성 가스 공급부로부터 N2 가스를 처리실(14A) 내에 공급해도 된다(불활성 가스 퍼지).
상술한 4개의 공정을 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼 W 위에 소정 조성 및 소정 막 두께의 SiO2막을 형성할 수 있다.
(보트 언로드 및 웨이퍼 디스차지)
소정막 두께의 막을 형성한 후, 불활성 가스 공급부로부터 N2 가스가 공급되고, 처리실(14A) 내의 N2 가스로 치환됨과 함께, 처리실(14A)의 압력이 상압으로 복귀된다. 그 후, 보트 엘리베이터(32A)에 의해 덮개부(22A)가 강하되고, 보트(26A)가 반응관(10A)으로부터 반출(보트 언로드)된다. 그 후, 처리가 종료된 웨이퍼 W는 보트(26A)로부터 취출된다(웨이퍼 디스차지).
그 후, 웨이퍼 W는 포드(5)에 수납되어 처리 장치(2) 밖으로 반출되어도 되고, 처리로(4B)로 반송되고, 예를 들어 어닐 등의 기판 처리가 연속해서 행해져도 된다. 처리로(4A)에서의 웨이퍼 W의 처리 후에 연속해서 처리로(4B)에서 웨이퍼 W의 처리를 행하는 경우, 게이트 밸브(90A 및 90B)를 개방으로 하고, 보트(26A)로부터 보트(26B)로 웨이퍼 W가 직접 반송된다. 그 후의 처리로(4B) 내로의 웨이퍼 W의 반출입은 상술한 처리로(4A)에 의한 기판 처리와 동일한 수순으로 행해진다. 또한, 처리로(4B) 내에서의 기판 처리는, 예를 들어 상술한 처리로(4A)에 의한 기판 처리와 동일한 수순으로 행히진다.
웨이퍼 W에 SiO2막을 형성할 때의 처리 조건으로서는, 예를 들어 하기가 예시된다.
처리 온도(웨이퍼 온도): 300℃ 내지 700℃,
처리 압력(처리실 내 압력) 1㎩ 내지 4000㎩,
DCS 가스: 100sccm 내지 10000sccm,
O2 가스: 100sccm 내지 10000sccm,
N2 가스: 100sccm 내지 10000sccm,
각각의 처리 조건을, 각각의 범위 내의 값으로 설정함으로써, 성막 처리를 적정하게 진행시키는 것이 가능해진다.
이어서, 처리 장치(2)의 배면 구성에 대하여 설명한다.
예를 들어, 보트(26)가 파손된 경우에는, 보트(26)를 교환할 필요가 있다. 또한, 반응관(10)이 파손된 경우나, 반응관(10)의 클리닝이 필요한 경우는, 반응관(10)을 제거할 필요가 있다. 이와 같이, 반송실(6)이나 처리로(4)에 있어서의 메인터넌스를 실시하는 경우에는, 처리 장치(2)의 배면측의 메인터넌스 에어리어로부터 메인터넌스를 행한다.
도 1에 나타낸 바와 같이, 반송실(6A, 6B)의 배면측에는 메인터넌스구(78A, 78B)가 각각 형성되어 있다. 메인터넌스구(78A)는 반송실(6A)의 반송실(6B)측에 형성되고, 메인터넌스구(78B)는 반송실(6B)의 반송실(6A)측에 형성된다. 메인터넌스구(78A, 78B)는 메인터넌스 도어(80A, 80B)에 의해 개폐된다. 메인터넌스 도어(80A, 80B)는 힌지(82A, 82B)를 기본축으로 하여 회동 가능하게 구성된다. 힌지(82A)는 반송실(6A)의 반송실(6B)측에 설치되고, 힌지(82B)는 반송실(6B)의 반송실(6A)측에 설치된다. 즉, 힌지(82A, 82B)는 반송실(6A, 6B)의 배면측의 인접면에 위치하는 내측 코너부 부근에 서로 인접하도록 설치된다. 메인터넌스 에어리어는 처리 모듈(3A) 배면에 있어서의 처리 모듈(3B)측과 처리 모듈(3B) 배면에 있어서의 처리 모듈(3A)측에 형성되어 있다.
상상선으로 나타낸 바와 같이, 메인터넌스 도어(80A, 80B)가 힌지(82A, 82B)를 중심으로 하여 반송실(6A, 6B)의 배면측 후방에 수평으로 회동됨으로써, 배면 메인터넌스구(78A, 78B)가 개방된다. 메인터넌스 도어(80A)는 반송실(6A)을 향해 좌측 개방으로 180°까지 개방 가능하도록 구성된다. 메인터넌스 도어(80B)는 반송실(6B)를 향해 우측 개방으로 180°까지 개방 가능하도록 구성된다. 즉, 반송실(6A)을 향해, 메인터넌스 도어(80A)는 시계 방향으로 회동하고, 메인터넌스 도어(80B)는 반시계 방향으로 회동한다. 바꿔 말하면, 메인터넌스 도어(80A, 80B)는 서로 반대 방향으로 회동된다. 메인터넌스 도어(80A, 80B)는 제거 가능하게 구성되어 있고, 제거하고 메인터넌스를 행해도 된다.
반송실(6A, 6B)의 배면 근방에는 유틸리티계(70A, 70B)가 설치되어 있다. 유틸리티계(70A, 70B)는 메인터넌스 리어를 개재하고 대향하여 배치된다. 유틸리티계(70A, 70B)의 메인터넌스를 행할 때는, 유틸리티계(70A, 70B)의 내측, 즉 유틸리티계(70A, 70B) 사이의 공간(메인터넌스 에어리어)으로부터 행한다. 유틸리티계(70A, 70B)는 하우징측[반송실(6A, 6B)측]으로부터 각각 차례로, 배기 박스(74A, 74B), 공급 박스(72A, 72B), 컨트롤러 박스(76A, 76B)로 구성되어 있다. 유틸리티계(70A, 70B)의 각 박스의 메인터넌스구는 각각 내측(메인터넌스 에어리어측)에 형성되어 있다. 즉, 유틸리티계(70A, 70B)의 각 박스의 메인터넌스구는 서로 대향하도록 형성되어 있다.
배기 박스(74A)는 반송실(6A)의 배면에 있어서의 반송실(6B)과는 반대측에 위치하는 외측 코너부에 배치된다. 배기 박스(74B)는 반송실(6B)의 배면에 있어서의 반송실(6A)과는 반대측에 위치하는 외측 코너부에 배치된다. 즉, 배기 박스(74A, 74B)는 반송실(6A, 6B)의 외측 측면과 배기 박스(74A, 74B)의 외측 측면이 평면으로 접속하도록 평탄하게(매끄럽게) 설치된다. 공급 박스(72A)는 배기 박스(74A)의 반송실(6A)에 인접하는 측과 반대측에 인접하여 배치된다. 공급 박스(72B)는 배기 박스(74B)의 반송실(6B)에 인접하는 측과 반대측에 인접하여 배치된다.
상면에서 볼 때, 배기 박스(74A, 74B)의 두께(짧은 변 방향의 폭)는 공급 박스(72A, 72B)의 두께보다 작게 되어 있다. 바꿔 말하면, 배기 박스(74A, 74B)보다도 공급 박스(72A, 72B)의 쪽이 메인터넌스 에어리어측으로 돌출되어 있다. 공급 박스(72A, 72B) 내에는 가스 집적 시스템이나 다수의 부대 설비가 배치되어 있기 때문에, 배기 박스(72A, 72B)보다도 두께가 커져 버리는 경우가 있다. 그래서, 배기 박스(72A, 72B)를 하우징측에 설치함으로써, 메인터넌스 도어(80A, 80B) 앞의 메인터넌스 에어리어를 넓게 확보할 수 있다. 즉, 상면에서 볼 때, 공급 박스(72A, 72B) 사이의 거리보다도, 배기 박스(74A, 74B) 사이의 거리의 쪽이 크게 되어 있기 때문에, 공급 박스(72A, 72B)를 하우징측에 설치하는 것보다도, 배기 박스(74A, 74B)를 하우징측에 설치한 쪽이, 메인터넌스 스페이스를 넓게 확보할 수 있다.
도 3에 나타낸 바와 같이, 가스 공급 기구(34A, 34B)의 파이널 밸브[가스 공급계의 최하단에 위치하는 밸브(40a, 40b)]는 배기 박스(74A, 74B)의 상방에 배치되어 있다. 바람직하게는, 배기 박스(74A, 74B)의 위(바로 위)에 배치되어 있다. 이와 같은 구성에 의해, 공급 박스(72A, 72B)를 하우징측으로부터 이격된 곳에 설치해도, 파이널 밸브로부터 처리실 내로의 배관 길이를 짧게 할 수 있기 때문에, 성막의 품질을 향상시킬 수 있다.
도 5에 나타낸 바와 같이, 처리 모듈(3A, 3B) 및 유틸리티계(70A, 70B)의 각 구성은 처리 모듈(3A, 3B)의 인접면 S1에 대하여 면 대칭으로 배치되어 있다. 배기관(46A, 46B)은 각각이 코너부 방향을 면하도록, 즉, 배기관(46A, 46B)이 배기 박스(74A, 74B) 방향을 면하도록 반응관(10A, 10B)이 설치된다. 또한, 파이널 밸브로부터 노즐까지의 배관 길이가, 처리 모듈(3A, 3B)에서 대략 동일한 길이가 되도록 배관이 배치되어 있다. 또한, 도 5 중의 화살표로 나타낸 바와 같이, 웨이퍼 W의 회전 방향도 처리로(4A, 4B)에 있어서 서로 반대 방향이 되도록 구성된다.
이어서, 처리 장치(2)의 메인터넌스에 대하여 설명한다.
반송실(6A) 내가 불활성 가스로 순환 퍼지되어 있는 경우, 메인터넌스 도어(80A)를 개방할 수 없도록 인터로크가 설정되어 있다. 또한, 반송실(6A) 내의 산소 농도가 대기압에 있어서의 산소 농도보다도 낮은 경우도, 메인터넌스 도어(80A)를 개방할 수 없도록 인터로크가 설정되어 있다. 메인터넌스 도어(80B)에 관해서도 마찬가지이다. 또한, 메인터넌스 도어(80A, 80B)를 개방하고 있을 때는, 게이트 밸브(90A, 90B)를 개방할 수 없도록 인터로크가 설정되어 있다. 메인터넌스 도어(80A, 80B)가 개방의 상태에서 게이트 밸브(90A, 90B)를 개방으로 하는 경우는, 처리 장치(2) 전체를 메인터넌스 모드로 한 후, 별도 설치되어 있는 메인터넌스 스위치를 온으로 함으로써, 게이트 밸브(90A, 90B)에 관한 인터로크가 해제되고, 게이트 밸브(90A, 90B)를 개방으로 할 수 있다.
메인터넌스 도어(80A)를 개방할 때는, 반송실(6A) 내의 산소 농도를 대기 중에 있어서의 산소 농도 이상, 바람직하게는 대기 중에 있어서의 산소 농도까지 상승시키기 위해, 클린 유닛(62A)으로부터 반송실(6A) 내로 대기 분위기를 유입시킨다. 이때, 반송실(6A) 내의 압력이, 이동 탑재실(8) 내의 압력보다도 높아지지 않도록, 반송실(6A) 내의 순환 퍼지를 해제하고, 반송실(6A) 내의 분위기를 반송실(6A) 밖으로 배기함과 함께, 클린 유닛(62A)의 팬의 회전수를 순환 퍼지 시의 회전수보다도 떨어뜨리고, 반송실(6A) 내로의 대기의 유입량을 제어한다. 이와 같이 제어함으로써, 반송실(6A) 내의 산소 농도를 상승시키면서, 반송실(6A) 내의 압력을 이동 탑재실(8) 내의 압력보다도 낮게 유지할 수 있다.
반송실(6A) 내의 산소 농도가 대기압 중에 있어서의 산소 농도와 동등해지면, 인터로크가 해제되어, 메인터넌스 도어(80A)를 개방할 수 있다. 이때, 반송실(6A) 내의 산소 농도가 대기압 중에 있어서의 산소 농도와 동등해도, 반송실(6A) 내의 압력이 이동 탑재실(8) 내의 압력보다도 높은 경우는, 메인터넌스 도어(80A)를 개방할 수 없도록 설정되어 있다. 메인터넌스 도어(80A)가 개방되면, 클린 유닛(62A)의 팬의 회전수를, 적어도 순환 퍼지 시의 회전수보다도 크게 한다. 보다 적합하게는, 클린 유닛(62A)의 팬의 회전수를 최대로 한다.
이동 탑재실(8) 내의 메인터넌스는 이동 탑재실(8)의 전방이며, 포드 오프너가 설치되어 있지 않은 부분에 형성된 메인터넌스구(78C)로부터 행해진다. 메인터넌스구(78C)는 메인터넌스 도어에 의해 개폐되도록 구성되어 있다. 상술한 바와 같이, 처리 장치(2) 전체를 메인터넌스 모드로 했을 때는, 게이트 밸브(90A, 90B)를 개방으로 하고, 게이트 밸브(90A, 90B)측으로부터 유지 관리할 수도 있다. 즉, 이동 탑재실(8) 내의 메인터넌스는, 장치 정면으로부터든 장치 배면으로부터든, 어느 쪽으로부터든 실시할 수 있다.
<본 실시 형태에 의한 효과>
본 실시 형태에 따르면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
(1) 유틸리티계를 하우징측으로부터 배기 박스, 공급 박스와 배치함으로써, 처리 장치 배면의 메인터넌스 에어리어를 넓게 할 수 있다. 이와 같은 구성에 의해, 반송실 배면의 메인터넌스구를 넓게 형성할 수 있고, 메인터넌스성을 향상시킬 수 있다. 또한, 처리 장치 배면의 메인터넌스 에어리어를 넓게 함으로써, 장치의 양측에 메인터넌스 에어리어를 확보할 필요가 없기 때문에, 장치의 풋프린트를 저감시킬 수 있다.
(2) 좌우의 처리 모듈의 유틸리티계를 처리 장치의 양 외측 측면에 서로 대면하여 설치함으로써, 장치 배면의 공간을 좌우의 처리 모듈 공통의 메인터넌스 에어리어로서 사용하는 것이 가능해진다. 예를 들어, 종래의 장치에 있어서는, 장치 배면의 양단에 공급 박스와 배기 박스를 대면하도록 설치하고 있는 경우가 있다. 이와 같은 구성의 장치를 2개 배열한 경우, 2개의 장치의 경계선에서, 한쪽의 배기 박스와 다른 쪽의 공급 박스가 인접하게 된다. 이에 비해 본 실시 형태에 따르면, 2개의 처리 모듈의 경계선에 있어서, 유틸리티계가 배치되어 있지 않기 때문에, 메인터넌스 에어리어를 넓게 확보할 수 있다.
(3) 가스 공급계의 파이널 밸브를 배기 박스의 상방에 설치함으로써, 파이널 밸브로부터 처리실까지의 배관 길이를 짧게 할 수 있다. 즉, 가스 공급 시의 가스 지연이나 유량 변동 등을 억제할 수 있고, 성막의 품질을 향상시킬 수 있다. 통상, 성막의 품질은 가스 유량이나 가스 압력 등의 가스 공급 조건에 영향을 받기 때문에, 반응관 내에 가스를 안정적으로 공급하기 위해 공급 박스를 하우징 근처에 설치하는 것이 바람직하다. 그러나, 본 발명에 있어서는, 파이널 밸브를 반응관의 근처에 설치함으로써, 성막의 품질에 악영향을 미치는 일 없이, 하우징으로부터 이격된 위치에 공급 박스를 배치하는 것이 가능해진다. 또한, 배기 박스를 처리 용기(반응관)로부터 연장되는 배기관보다도 하방에 배치하고, 그 바로 위에 파이널 밸브를 배치함으로써, 처리실까지의 배관 길이를 짧게 할 수 있다. 또한, 파이널 밸브를 배기 박스의 바로 위에 설치함으로써, 파이널 밸브의 교환 등의 메인터넌스가 용이해진다.
(4) 처리 모듈의 경계를 경계로 하여 선 대칭으로 각 구성을 설치함으로써, 좌우의 처리 모듈에서의 성막의 품질의 변동을 억제할 수 있다. 즉, 처리 모듈 내의 각 구성, 유틸리티계, 가스 공급관 배치나 배기 배관 배치를 선 대칭으로 설치함으로써, 공급 박스로부터 반응관으로의 배관 길이나, 반응관으로부터 배기 박스로의 배관 길이를 좌우의 처리 모듈에서 대략 동일하게 할 수 있다. 이에 의해, 좌우의 처리 모듈에 있어서 동일한 조건에서 성막을 실시할 수 있고, 성막의 품질을 일정하게 할 수 있기 때문에, 생산성을 향상시킬 수 있다.
(5) 메인터넌스 도어를 2개의 처리 모듈의 경계측에 설치하고, 다른 쪽의 처리 모듈을 향해 회동하도록 구성함으로써, 메인터넌스 도어를 180도 개방할 수 있고, 또한 반송실 배면의 메인터넌스구를 넓게 형성할 수 있기 때문에, 메인터넌스성을 향상시킬 수 있다.
(6) 한쪽의 처리 모듈에서 기판 처리를 행하면서, 다른 쪽의 처리 모듈이나 이동 탑재실 내의 메인터넌스를 하는 것이 가능해진다. 이에 의해, 성막 처리를 정지하지 않고 메인터넌스를 할 수 있기 때문에, 장치의 가동률을 상승시킬 수 있고, 생산성을 향상시킬 수 있다.
(7) 한쪽의 처리 모듈의 메인터넌스 도어를 개방할 때, 반송실 내의 압력을 이동 탑재실 내의 압력보다도 낮게 유지하면서, 반송실 내의 산소 농도를 대기압에 있어서의 산소 농도로 상승시킴으로써, 이동 탑재실측으로의 반송실로부터 이동 탑재실로의 분위기의 유입을 억제할 수 있다. 또한, 메인터넌스 도어를 개방 후에는 반송실 내의 클린 유닛의 팬의 회전수를 순환 퍼지 시보다도 높임으로써, 메인터넌스 도어 개방 후(반송실을 대기 개방 후)에도, 반송실 내로부터 이동 탑재실 내로 분위기가 유입되는 것을 억제할 수 있다. 이와 같은 구성에 의해, 한쪽의 처리 모듈에서 메인터넌스 도어를 개방했다고 해도, 다른 쪽의 처리 모듈을 계속해서 가동시키는 것이 가능해진다. 즉, 반송실에서 메인터넌스를 행하고 있어도, 이동 탑재실 내의 청정 분위기를 유지할 수 있고, 또한 이동 탑재실 내의 산소 농도의 상승을 억제할 수 있기 때문에, 가동 중의 처리 모듈에 악영향을 미치는 일 없이, 정지 중인 처리 모듈을 유지 관리할 수 있다. 이에 의해, 한쪽의 처리 모듈을 가동시킨 상태에서 다른 쪽의 처리 모듈의 메인터넌스를 할 수 있기 때문에, 메인터넌스 시에 처리 장치 전체의 가동을 정지시킬 필요가 없어, 생산성을 향상시킬 수 있다.
이상, 본 발명의 실시 형태를 구체적으로 설명했다. 그러나, 본 발명은 상술한 실시 형태에 한정되는 것은 아니고, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 상술한 실시 형태에서는 원료 가스로서 DCS 가스를 사용하는 예에 대하여 설명했지만, 본 발명은 이와 같은 양태에 한정되지 않는다. 예를 들어, 원료 가스로서는, DCS 가스 외에, HCD(Si2Cl6:헥사클로로디실란) 가스, MCS(SiH3Cl:모노클로로실란) 가스, TCS(SiHCl3:트리클로로실란) 가스 등의 무기계 할로실란 원료 가스나, 3DMAS(Si[N(CH3)2]3H:트리스디메틸아미노실란) 가스, BTBAS(SiH2[NH(C4H9)]2:비스터셔리부틸아미노실란) 가스 등의 할로겐기 비함유의 아미노계(아민계)실란 원료 가스나, MS(SiH4:모노실란) 가스, DS(Si2H6:디실란) 가스 등의 할로겐기 비함유의 무기계 실란 원료 가스를 사용할 수 있다.
예를 들어, 상술한 실시 형태에서는, SiO2막을 형성하는 예에 대하여 설명했다. 그러나, 본 발명은 이와 같은 양태에 한정되지 않는다. 예를 들어, 이들 외에, 혹은 이들에 더하여, 암모니아(NH3) 가스 등의 질소(N) 함유 가스(질화 가스), 프로필렌(C3H6) 가스 등의 탄소(C) 함유 가스, 삼염화붕소(BCl3) 가스 등의 붕소(B) 함유 가스 등을 사용하고, SiN막, SiON막, SiOCN막, SiOC막, SiCN막, SiBN막, SiBCN막 등을 형성할 수 있다. 이들의 성막을 행하는 경우에 있어서도, 상술한 실시 형태와 동일한 처리 조건에서 성막을 행할 수 있고, 상술한 실시 형태와 동일한 효과가 얻어진다.
또한 예를 들어, 본 발명은 웨이퍼 W 위에, 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈륨(Ta), 니오븀(Nb), 알루미늄(Al), 몰리브덴(Mo), 텅스텐(W) 등의 금속 원소를 포함하는 막, 즉, 금속계 막을 형성하는 경우에 있어서도, 적합하게 적용 가능하다.
상술한 실시 형태에서는, 웨이퍼 W 위에 막을 퇴적시키는 예에 대하여 설명했지만, 본 발명은 이와 같은 양태에 한정되지 않는다. 예를 들어, 웨이퍼 W나 웨이퍼 W 위에 형성된 막 등에 대하여, 산화 처리, 확산 처리, 어닐 처리, 에칭 처리 등의 처리를 행하는 경우에도, 적합하게 적용 가능하다.
또한, 상술한 실시 형태나 변형예는 적절히 조합하여 사용할 수 있다. 이때의 처리 조건은, 예를 들어 상술한 실시 형태나 변형예와 동일한 처리 조건으로 할 수 있다.
3 : 처리 모듈
72 : 공급 박스
74 : 배기 박스
76 : 컨트롤러 박스

Claims (9)

  1. 기판을 처리하는 제1 처리 용기와, 상기 제1 처리 용기의 하방에 배치되고, 상기 제1 처리 용기 내외로 상기 기판을 반출입하는 제1 반송실을 갖는 제1 처리 모듈과,
    상기 기판을 처리하는 제2 처리 용기와, 상기 제1 처리 용기의 하방에 배치되고, 상기 제2 처리 용기 내외로 상기 기판을 반출입하는 제2 반송실을 갖고, 상기 제1 처리 용기에 인접하여 배치되는 제2 처리 모듈과,
    상기 제1 처리 용기 내를 배기하는 제1 배기계가 수납된 제1 배기 박스와, 상기 제1 처리 용기 내에 처리 가스를 공급하는 제1 공급계가 수납된 제1 공급 박스를 포함하고, 상기 제1 처리 모듈 배면측에 배치되는 제1 유틸리티계와,
    상기 제2 처리 용기 내를 배기하는 제2 배기계가 수납된 제2 배기 박스와, 상기 제2 처리 용기 내에 처리 가스를 공급하는 제2 공급계가 수납된 제2 공급 박스를 포함하고, 상기 제2 처리 모듈 배면측에 배치되는 제2 유틸리티계와,
    상기 제1 반송실의 정면측 및 상기 제2 반송실의 정면측에 인접하고, 상기 제1 반송실 내의 제1 기판 보유 지지구 및 상기 제2 반송실 내의 제2 기판 보유 지지구에 상기 기판을 이동 탑재하는 이동 탑재실
    을 구비하고,
    상기 이동 탑재실의 천장에는, 상기 이동 탑재실에 클린 에어를 공급하는 클린 유닛이 설치되는, 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제1 반송실과 이동 탑재실의 경계벽과, 상기 제2 반송실과 상기 이동 탑재실의 경계벽에는, 제1 게이트 밸브 및 제2 게이트 밸브가 각각 설치되고,
    상기 이동 탑재실은, 상기 클린 에어로서 불활성 가스를 순환시키도록 구성되고,
    상기 제1 메인터넌스 도어는, 상기 제1 반송실 내의 압력이 상기 이동 탑재실 내의 압력보다 낮고, 또한 상기 제1 반송실 내의 산소 농도가 대기 중의 산소 농도 이상일 때 개방 가능하게 구성되는, 기판 처리 장치.
  3. 제1항에 있어서,
    상기 제1 및 제2 처리 용기는, 상기 제1 및 제2 처리 용기에 설치되는 배기관이, 상기 제1 및 제2 배기 박스의 방향을 면하도록 설치되는, 기판 처리 장치.
  4. 제1항에 있어서,
    상기 제1 유틸리티계 및 상기 제2 유틸리티계에는, 가장 배면측에, 컨트롤러를 수납하는 제1 및 제2 컨트롤러 박스가 각각 설치되는, 기판 처리 장치.
  5. 제1항에 있어서,
    상기 제1 처리 모듈과 상기 제2 처리 모듈은, 상기 제1 처리 모듈과 상기 제2 처리 모듈의 인접면에 대하여 면 대칭으로 배치되고, 상기 제1 유틸리티계와 상기 제2 유틸리티계는, 상기 인접면에 대하여 면 대칭으로 배치되는, 기판 처리 장치.
  6. 제4항에 있어서,
    상기 제1 처리 용기에서 처리되는 웨이퍼와, 상기 제2 처리 용기에서 처리되는 웨이퍼는, 서로 반대 방향으로 회전되는, 기판 처리 장치.
  7. 제1 처리 모듈의 제1 처리 용기 내의 기판에 대하여, 상기 제1 처리 모듈 배면에 인접하여 배치되는 제1 유틸리티계가 구비하는, 상기 제1 처리 용기 내에 처리 가스를 공급하는 제1 공급 박스로부터 가스를 공급하면서, 상기 제1 유틸리티계가 구비하는 제1 배기 박스에 수납된 제1 배기계에 의해 상기 제1 처리 용기 내를 배기하여, 상기 기판을 처리하는 제1 처리 공정과,
    상기 제1 처리 용기로부터 상기 제1 처리 용기에 인접하는 제2 처리 용기로, 천장에 설치된 클린 유닛으로부터 클린 에어가 공급되고 있는 이동 탑재실을 통하여 상기 기판을 반송하는 공정과,
    상기 제2 처리 모듈의 상기 제2 처리 용기 내의 상기 기판에 대하여, 상기 제2 처리 모듈 배면에 인접하여 배치되는 제2 유틸리티계가 구비하는, 상기 제2 처리 용기 내에 처리 가스를 공급하는 제2 공급 박스로부터 가스를 공급하면서, 상기 제2 유틸리티계가 구비하는 제2 배기 박스에 수납된 제2 배기계에 의해 상기 제2 처리 용기 내를 배기하고, 상기 기판을 처리하는 제2 처리 공정
    을 갖는, 반도체 장치의 제조 방법.
  8. 제7항에 있어서,
    상기 제1 처리 용기의 하방에 배치되는 제1 반송실 내를 유지 관리하는 공정을 더 갖고,
    상기 유지 관리하는 공정과 상기 제2 처리 공정을 동시에 행하는, 반도체 장치의 제조 방법.
  9. 제8항에 있어서,
    상기 유지 관리하는 공정은,
    상기 제1 반송실 내의 압력을 상기 이동 탑재실의 압력보다 낮은 압력으로 유지하면서, 상기 제1 반송실 내의 산소 농도를 대기 중의 산소 농도 이상의 산소 농도로 상승시키는 스텝과,
    상기 제1 반송실의 배면에 형성된 메인터넌스 도어를 개방하는 스텝을 갖는, 반도체 장치의 제조 방법.
KR1020187027070A 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체 KR101969277B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/069486 WO2018003072A1 (ja) 2016-06-30 2016-06-30 基板処理装置、半導体装置の製造方法および記録媒体

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187011036A Division KR101943808B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Publications (2)

Publication Number Publication Date
KR20180107306A true KR20180107306A (ko) 2018-10-01
KR101969277B1 KR101969277B1 (ko) 2019-04-15

Family

ID=60786200

Family Applications (9)

Application Number Title Priority Date Filing Date
KR1020187027066A KR101969275B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187027064A KR101969274B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187027056A KR101974327B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187027068A KR101969276B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020227018329A KR20220078725A (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020197011705A KR20190045410A (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020247002580A KR20240017095A (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187011036A KR101943808B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187027070A KR101969277B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Family Applications Before (8)

Application Number Title Priority Date Filing Date
KR1020187027066A KR101969275B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187027064A KR101969274B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187027056A KR101974327B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187027068A KR101969276B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020227018329A KR20220078725A (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020197011705A KR20190045410A (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020247002580A KR20240017095A (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR1020187011036A KR101943808B1 (ko) 2016-06-30 2016-06-30 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체

Country Status (6)

Country Link
US (4) US10636681B2 (ko)
JP (1) JP6621921B2 (ko)
KR (9) KR101969275B1 (ko)
CN (6) CN109075020B (ko)
SG (1) SG11201811656VA (ko)
WO (1) WO2018003072A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200112654A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법 및 프로그램

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN109075020B (zh) * 2016-06-30 2024-03-05 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018150536A1 (ja) 2017-02-17 2018-08-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230130775A (ko) * 2018-03-06 2023-09-12 가부시키가이샤 코쿠사이 엘렉트릭 처리 장치, 배기 시스템 및 반도체 장치의 제조 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6896682B2 (ja) * 2018-09-04 2021-06-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7175201B2 (ja) * 2019-01-10 2022-11-18 東京エレクトロン株式会社 処理装置
JP7105751B2 (ja) * 2019-01-10 2022-07-25 東京エレクトロン株式会社 処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7228612B2 (ja) * 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023159478A (ja) * 2020-09-25 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US20220130698A1 (en) * 2020-10-22 2022-04-28 Nanya Technology Corporation Gas purge device and gas purging method
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102641087B1 (ko) * 2021-07-23 2024-02-28 ㈜온새미로 웨이퍼 매핑이 가능한 종형 확산로
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004014984A (ja) * 2002-06-11 2004-01-15 Hitachi Kokusai Electric Inc 基板処理装置
JP2005051089A (ja) * 2003-07-30 2005-02-24 Tokyo Electron Ltd 基板処理装置および基板処理方法
KR20060097185A (ko) * 2005-03-04 2006-09-14 세메스 주식회사 증착 장치 및 상기 장치에서 마스크를 회수하는 방법.
JP2010283356A (ja) 2004-07-13 2010-12-16 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2012099763A (ja) * 2010-11-05 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理装置の保守点検方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
FR2761485B1 (fr) * 1997-03-28 1999-06-11 Instruments Sa Multiplexeur-demultiplexeur en longueur d'onde a fibres optiques
JPH11195688A (ja) * 1997-12-26 1999-07-21 Mc Electronics Kk 基板処理装置
JPH11204449A (ja) * 1998-01-14 1999-07-30 Tokyo Electron Ltd 縦型熱処理装置
JP2001023872A (ja) * 1999-07-09 2001-01-26 Hitachi Ltd 半導体基板処理装置
JP4384770B2 (ja) 2000-01-27 2009-12-16 株式会社日立国際電気 基板処理装置
JP3610900B2 (ja) * 2000-11-30 2005-01-19 東京エレクトロン株式会社 熱処理装置
JP4100466B2 (ja) * 2000-12-25 2008-06-11 東京エレクトロン株式会社 液処理装置
JP2002280317A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
US20020170781A1 (en) * 2001-05-18 2002-11-21 Burkholder Robert F. Disk oil slinger assembly
JP4884607B2 (ja) * 2001-07-12 2012-02-29 東京エレクトロン株式会社 熱処理装置
JP3605692B2 (ja) * 2001-10-24 2004-12-22 東京エレクトロン株式会社 搬送処理方法及び搬送処理装置
US7918940B2 (en) 2005-02-07 2011-04-05 Semes Co., Ltd. Apparatus for processing substrate
US7553516B2 (en) 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7371998B2 (en) 2006-07-05 2008-05-13 Semitool, Inc. Thermal wafer processor
JP2008091761A (ja) 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5016294B2 (ja) * 2006-11-10 2012-09-05 東京エレクトロン株式会社 基板処理装置及び該装置の分析方法
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
JP6120621B2 (ja) * 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ 真空処理装置及びその運転方法
CN105518836B (zh) * 2013-10-10 2018-02-13 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
JP6490409B2 (ja) * 2014-03-19 2019-03-27 東レエンジニアリング株式会社 塗布装置、塗布方法、及びディスプレイ用部材の製造方法
CN109075020B (zh) * 2016-06-30 2024-03-05 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004014984A (ja) * 2002-06-11 2004-01-15 Hitachi Kokusai Electric Inc 基板処理装置
JP2005051089A (ja) * 2003-07-30 2005-02-24 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2010283356A (ja) 2004-07-13 2010-12-16 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
KR20060097185A (ko) * 2005-03-04 2006-09-14 세메스 주식회사 증착 장치 및 상기 장치에서 마스크를 회수하는 방법.
JP2012099763A (ja) * 2010-11-05 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理装置の保守点検方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200112654A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법 및 프로그램

Also Published As

Publication number Publication date
CN117855106A (zh) 2024-04-09
US10636681B2 (en) 2020-04-28
CN109075020A (zh) 2018-12-21
KR101969275B1 (ko) 2019-04-15
KR20190045410A (ko) 2019-05-02
CN117913000A (zh) 2024-04-19
KR101974327B1 (ko) 2019-04-30
US20210217634A1 (en) 2021-07-15
US11062918B2 (en) 2021-07-13
CN117855105A (zh) 2024-04-09
JP6621921B2 (ja) 2019-12-18
KR20180107304A (ko) 2018-10-01
WO2018003072A1 (ja) 2018-01-04
KR20180054788A (ko) 2018-05-24
KR101969274B1 (ko) 2019-04-15
SG11201811656VA (en) 2019-01-30
CN109075020B (zh) 2024-03-05
KR20240017095A (ko) 2024-02-06
US20190198359A1 (en) 2019-06-27
US20230016879A1 (en) 2023-01-19
CN110265322B (zh) 2020-10-30
CN117894718A (zh) 2024-04-16
KR101969277B1 (ko) 2019-04-15
JPWO2018003072A1 (ja) 2019-01-17
KR20180107303A (ko) 2018-10-01
KR20180107301A (ko) 2018-10-01
KR20180107305A (ko) 2018-10-01
KR20220078725A (ko) 2022-06-10
CN110265322A (zh) 2019-09-20
US20200013639A1 (en) 2020-01-09
US11456190B2 (en) 2022-09-27
KR101969276B1 (ko) 2019-04-15
KR101943808B1 (ko) 2019-01-29

Similar Documents

Publication Publication Date Title
KR101943808B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP7429747B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6475135B2 (ja) 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具
JP6591710B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6591711B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6616917B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6625256B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6591712B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
CN216049147U (zh) 作业用保护件

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant