CN109075020A - 衬底处理装置、半导体器件的制造方法及记录介质 - Google Patents

衬底处理装置、半导体器件的制造方法及记录介质 Download PDF

Info

Publication number
CN109075020A
CN109075020A CN201680085181.XA CN201680085181A CN109075020A CN 109075020 A CN109075020 A CN 109075020A CN 201680085181 A CN201680085181 A CN 201680085181A CN 109075020 A CN109075020 A CN 109075020A
Authority
CN
China
Prior art keywords
fumer
processing component
adjacent
substrate
process container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680085181.XA
Other languages
English (en)
Other versions
CN109075020B (zh
Inventor
上村大义
野上孝志
谷山智志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
INTERNATIONAL ELECTRIC CO Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=60786200&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN109075020(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by INTERNATIONAL ELECTRIC CO Ltd filed Critical INTERNATIONAL ELECTRIC CO Ltd
Priority to CN202410181355.9A priority Critical patent/CN117894718A/zh
Priority to CN202410181435.4A priority patent/CN117913000A/zh
Priority to CN201910410031.7A priority patent/CN110265322B/zh
Priority to CN202410181387.9A priority patent/CN117855105A/zh
Priority to CN202410181405.3A priority patent/CN117855106A/zh
Publication of CN109075020A publication Critical patent/CN109075020A/zh
Application granted granted Critical
Publication of CN109075020B publication Critical patent/CN109075020B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Abstract

本发明的课题为确保维护区域的同时减少占地面积。解决手段为具备:第1处理组件,其具有处理衬底的第1处理容器;第2处理组件,其与第1处理容器相邻地配置,具有处理衬底的第2处理容器;第1排气箱,其与第1处理组件背面相邻地配置,并收纳有对第1处理容器内进行排气的第1排气系统;第1供给箱,其在第1排气箱的与相邻于第1处理组件背面的一侧呈相反的一侧相邻地配置,并收纳有向第1处理容器内供给处理气体的第1供给系统;第2排气箱,其与第2处理组件背面相邻地配置,并收纳有对第2处理容器内进行排气的第2排气系统;及第2供给箱,其在第2排气箱的与相邻于第2处理组件背面的一侧呈相反的一侧相邻地配置,并收纳有向第2处理容器内供给处理气体的第2供给系统,其中,第1排气箱配置在位于第1处理组件背面的与第2处理组件侧相反的一侧的外侧角部,第2排气箱配置在位于第2处理组件背面的与第1处理组件侧相反的一侧的外侧角部。

Description

衬底处理装置、半导体器件的制造方法及记录介质
技术领域
本发明涉及衬底处理装置、半导体器件的制造方法及记录介质。
背景技术
在半导体器件(元器件)的制造工序中的衬底处理中,例如,使用一并处理多张衬底的立式衬底处理装置。维护衬底处理装置时,需要在衬底处理装置周边确保维护区域,为了确保维护区域,有时衬底处理装置的占地面积大(例如,专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开2010-283356号公报
发明内容
发明要解决的课题
本发明是鉴于上述情况而作出的,其目的在于提供能够在确保维护区域的同时减少占地面积的技术。
用于解决课题的手段
根据本发明的一个方式,提供下述技术,具备:
第1处理组件,具有处理衬底的第1处理容器;
第2处理组件,其具有与上述第1处理容器相邻地配置的处理上述衬底的第2处理容器;
第1排气箱,其与上述第1处理组件背面相邻地配置,并收纳有对上述第1处理容器内进行排气的第1排气系统;
第1供给箱,其在上述第1排气箱的与相邻于上述第1处理组件背面的一侧呈相反的一侧相邻地配置,并收纳有向上述第1处理容器内供给处理气体的第1供给系统;
第2排气箱,其与上述第2处理组件背面相邻地配置,并收纳有对上述第2处理容器内进行排气的第2排气系统;及
第2供给箱,其在上述第2排气箱的与相邻于上述第2处理组件背面的一侧呈相反的一侧相邻地配置,并收纳有向上述第2处理容器内供给处理气体的第2供给系统,
其中,上述第1排气箱配置于上述第1处理组件背面的与上述第2处理组件侧相反的一侧的外侧角部,上述第2排气箱配置于上述第2处理组件背面的与上述第1处理组件侧相反的一侧的外侧角部。
发明的效果
根据本发明,能够在确保维护区域的同时减少占地面积。
附图说明
[图1]为概略性地示出本发明的实施方式中优选使用的衬底处理装置的一例的俯视图。
[图2]为概略性地示出本发明的实施方式中优选使用的衬底处理装置的一例的纵剖面图。
[图3]为概略性地示出本发明的实施方式中优选使用的衬底处理装置的一例的纵剖面图。
[图4]为概略性地示出本发明的实施方式中优选使用的处理炉的一例的纵剖面图。
[图5]为概略性地示出本发明的实施方式中优选使用的处理组件的一例的横剖面图。
具体实施方式
以下,参照附图,对本发明的非限定性示例实施方式进行说明。全部附图中,针对相同或对应的构成,标注相同或对应的参考标记,省略重复的说明。另外,将后述的收纳室9侧作为正面侧(前侧),将后述的搬送室6A、6B侧作为背面侧(后侧)。此外,将朝向后述的处理组件3A、3B的边界线(相邻面)的一侧作为内侧,将远离边界线的一侧作为外侧。
本实施方式中,衬底处理装置以实施热处理等衬底处理工序(其作为半导体器件(元器件)的制造方法中的制造工序的一个工序)的立式衬底处理装置(以下,称为处理装置)2的形式构成。
如图1、2所示,处理装置2具备相邻的两个处理组件3A、3B。处理组件3A由处理炉4A和搬送室6A构成。处理组件3B由处理炉4B和搬送室6B构成。在处理炉4A、4B的下方分别配置有搬送室6A、6B。在搬送室6A、6B的正面侧相邻地配置有移载室8,所述移载室8具备移载晶片W的移载机7。在移载室8的正面侧连结有收纳晶盒(前开式晶圆传送盒,FOUP)5的收纳室9,所述晶盒(前开式晶圆传送盒)5中收纳有多张晶片W。在收纳室9的整面设置有I/O端口22,经由I/O端口22向处理装置2内搬入及向处理装置2外搬出晶盒5。
在搬送室6A、6B与移载室8的边界壁(相邻面),分别设置有闸阀90A、90B。在移载室8内及搬送室6A、6B内各自设置有压力检测器,移载室8内的压力被设定为低于搬送室6A、6B内的压力。另外,在移载室8内及搬送室6A、6B内各自设置有氧浓度检测器,移载室8A内及搬送室6A、6B内的氧浓度被维持在低于大气中的氧浓度的水平。在移载室8的顶部设置有向移载室8内供给清洁空气的清洁单元62C,构成为使作为清洁空气(clean air)的例如非活性气体在移载室8内循环。通过利用非活性气体对移载室8内进行循环吹扫,从而能够使移载室8内成为干净的气氛。通过这样的构成,能够抑制搬送室6A、6B内的粒子等混入移载室8内,能够抑制在移载室8内及搬送室6A、6B内在晶片W上形成自然氧化膜。
处理组件3A及处理组件3B具备相同的构成,因此在下文中,仅针对作为代表的处理组件3A进行说明。
如图4所示,处理炉4A具备:圆筒形的反应管10A;和设置于反应管10A的外周的作为加热手段(加热机构)的加热器12A。反应管由例如石英、SiC形成。在反应管10A的内部形成有对作为衬底的晶片W进行处理的处理室14A。反应管10A中设置有作为温度检测器的温度检测部16A。温度检测部16A沿着反应管10A的内壁竖立设置。
利用作为气体供给系统的气体供给机构34A,将衬底处理中使用的气体供给至处理室14A内。气体供给机构34A供给的气体可根据形成的膜的种类变换。此处,气体供给机构34A包含原料气体供给部、反应气体供给部及非活性气体供给部。气体供给机构34A被收纳于后述的供给箱72A。
原料气体供给部具备气体供给管36a,在气体供给管36a上,从上游方向起依次设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)38a及作为开闭阀的阀40a。气体供给管36a与贯穿集流管18的侧壁的喷嘴44a连接。喷嘴44a在反应管10内沿上下方向竖立设置,形成有朝向保持于晶舟26的晶片W开口的多个供给孔。原料气体从喷嘴44a的供给孔通过而向晶片W供给。
以下,利用同样的构成,从反应气体供给部、经由供给管36b、MFC38b、阀40b及喷嘴44b向晶片W供给反应气体。从非活性气体供给部、经由供给管36c、36d、MFC38c、38d、阀40c、40d及喷嘴44a、44b向晶片W供给非活性气体。
圆筒形的集流管18A经由O型圈等密封部件而连结于反应管10A的下端开口部,支承反应管10A的下端。集流管18A的下端开口部通过圆盘状的盖部22A而开闭。在盖部22A的上表面设置有O型圈等密封部件,由此,反应管10A内和外部气体被气密地密封。在盖部22A上载置有隔热部24A。
在集流管18A处安装有排气管46A。经由检测处理室14A内的压力的作为压力检测器(压力检测部)的压力传感器48A及作为压力调节器(压力调节部)的APC(Auto PressureController,自动压力控制器)阀40A,作为真空排气装置的真空泵52A连接于排气管46A。通过这样的构成,能够使处理室14A内的压力为与处理相应的处理压力。主要由排气管46A、APC阀40A、压力传感器48A构成排气系统A。排气系统A被收纳于后述的排气箱74A。
处理室14A在内部收纳有作为衬底保持件的晶舟26A,所述晶舟26A以架状垂直地支承多张(例如25~150张)晶片W。通过贯穿盖部22A及隔热部24A的旋转轴28A,晶舟26A被支承于隔热部24A的上方。旋转轴28A与设置于盖部22A的下方的旋转机构30A连接,旋转轴28A构成为能够在将反应管10A的内部气密地密封的状态下旋转。盖部22通过作为升降机构的晶舟升降机32A而沿上下方向被驱动。由此,晶舟26A及盖部22A一体地升降,晶舟26A相对于反应管10A搬入及搬出。
晶片W向晶舟26A的移载在搬送室6A进行。如图3所示,在搬送室6A内的一个侧面(搬送室6A的外侧侧面,与面向搬送室6B的侧面呈相反侧的侧面)设置有清洁单元60A,所述清洁单元60A以使清洁空气(例如,非活性气体)在搬送室6A内循环的方式构成。对于供给至搬送室6A内的非活性气体而言,利用隔着晶舟26A而设置于与清洁单元60A相对的侧面(面向搬送室6B的侧面)的排气部62A,将所述非活性气体从搬送室6A内排气,并从清洁单元60A向搬送室6A内再供给(循环吹扫)。搬送室6A内的压力设定为低于移载室8内的压力。另外,搬送室6A内的氧浓度设定为低于大气中的氧浓度。通过这样的构成,能够抑制在晶片W的搬送操作中在晶片W上形成自然氧化膜。
在旋转机构30A、晶舟升降机32A、气体供给机构34A的MFC38a~d及阀40a~d、APC阀50A上,连接有对它们进行控制的控制器100。控制器100包含例如具备CPU的微处理器(计算机),以控制处理装置2的动作的方式构成。在控制器100上,连接有以例如触摸面板等形式构成的输入输出装置102。控制器100可以在处理组件3A和处理组件3B中各自设置一个,也可以二者共同设置一个。
在控制器100上连接有作为存储介质的存储部104。在存储部104内,以可读取的方式存储有控制处理装置10的动作的控制程序、用于根据处理条件使处理装置2的各构成部执行处理的程序(也称为制程)。
存储部104既可以为内置于控制器100的存储装置(硬盘、闪存),也可以为可移动性的外部记录装置(磁带、软盘、硬盘等磁盘、CD、DVD等光盘、MO等光磁盘、USB存储器、存储器卡等半导体存储器)。另外,向计算机提供程序可以使用互联网、专用线路等通信手段进行。关于程序,根据需要,通过来自输入输出装置102的指示等而从存储部104读取,控制器100执行按照所读取的制程的处理,由此,处理装置2基于控制器100的控制来执行所期望的处理。控制器100被收纳于控制器箱76A、76B。
接下来,针对使用上述处理装置2在衬底上形成膜的处理(成膜处理)进行说明。此处,针对通过向晶片W供给作为原料气体的DCS(SiH2Cl2:二氯硅烷)气体和作为反应气体的O2(氧)气体从而在晶片W上形成氧化硅(SiO2)膜的例子进行说明。需要说明的是,在以下的说明中,构成处理装置2的各部的动作由控制器100控制。
(晶片填充及晶舟加载)
打开闸阀90A,向晶舟20A搬送晶片W。在将多张晶片W装填(晶片填充)到晶舟26A上后,关闭闸阀90A。晶舟26A被晶舟升降机32A搬入(晶舟加载)于处理室14内,反应管10A的下部开口处于被盖部22A气密地封闭(密封)的状态。
(压力调节及温度调节)
利用真空泵52A进行真空排气(减压排气),以使得处理室14A内成为规定的压力(真空度)。利用压力传感器48A测定处理室14A内的压力,基于该测得的压力信息对APC阀50A进行反馈控制。另外,以处理室14A内的晶片W成为规定的温度的方式,利用加热器12A进行加热。此时,基于温度检测部16A检测出的温度信息,对向加热器12A的通电情况进行反馈控制,以使得处理室14A成为规定的温度分布。另外,开始利用旋转机构30A进行的晶舟26A及晶片W的旋转。
(成膜处理)
[原料气体供给工序]
当处理室14A内的温度稳定在预先设定的处理温度时,向处理室14A内的晶片W供给DCS气体。对于DCS气体而言,利用MFC38a控制为期望的流量,并经由气体供给管36a及喷嘴44a向处理室14A内供给。
[原料气体排气工序]
接下来,停止DCS气体的供给,利用真空泵52A对处理室14A内进行真空排气。此时,可以从非活性气体供给部向处理室14A内供给N2气体作为非活性气体(非活性气体吹扫)。
[反应气体供给工序]
接下来,向处理室14A内的晶片W供给O2气体。对于O2气体而言,利用MFC38b控制为期望的流量,并经由气体供给管36b及喷嘴44b向处理室14A内供给。
[反应气体排气工序]
接下来,停止O2气体的供给,利用真空泵52A对处理室14A内进行真空排气。此时,可以从非活性气体供给部向处理室14A内供给N2气体(非活性气体吹扫)。
通过将实施上述4个工序的循环实施规定次数(1次以上),从而能够在晶片W上形成规定组成及规定膜厚的SiO2膜。
(晶舟卸载及晶片取出)
在形成规定膜厚的膜后,从非活性气体供给部供给N2气体,将处理室14A内置换为N2气体,并使处理室14A的压力恢复至常压。然后,利用晶舟升降机32A使盖部22A下降,将晶舟26A从反应管10A搬出(晶舟卸载)。然后,将处理完成的晶片W从晶舟26A取出(晶片取出)。
然后,晶片W可以收纳于晶盒5并向处理装置2外搬出,也可以向处理炉4B搬送而连续地进行例如退火等衬底处理。当在处理炉4A中的晶片W的处理后连续地在处理炉4B中进行晶片W的处理时,打开闸阀90A及90B,将晶片W直接从晶舟26A向晶舟26B搬送。之后的晶片W向处理炉4B内的搬入和搬出按照利用上述处理炉4A进行的衬底处理同样的步骤进行。另外,处理炉4B内的衬底处理例如按照利用与上述处理炉4A进行的衬底处理同样的步骤进行。
作为在晶片W上形成SiO2膜时的处理条件,例如,可示例下述条件。
处理温度(晶片温度):300℃~700℃、
处理压力(处理室内压力)1Pa~4000Pa、
DCS气体:100sccm~10000sccm、
O2气体:100sccm~10000sccm、
N2气体:100sccm~10000sccm
通过将各处理条件设定为各范围内的值,能够适当地进行成膜处理。
接下来,对处理装置2的背面构成进行说明。
例如,晶舟26破损时,需要更换晶舟26。另外,反应管10破损时或需要清洁反应管10时,需要将反应管10取出。如此,当实施搬送室6、处理炉4中的维护时,从处理装置2的背面侧的维护区域进行维护。
如图1所示,在搬送室6A、6B的背面侧分别形成有维护口78A、78B。维护口78A形成于搬送室6A的搬送室6B侧,维护口78B形成于搬送室6B的搬送室6A侧。维护口78A、78B通过维护门80A、80B而开闭。维护门80A、80B构成为能够以铰链82A、82B作为基轴而转动。铰链82A设置于搬送室6A的搬送室6B侧,铰链82B设置于搬送室6B的搬送室6A侧。即,铰链82A、82B被设置成在位于搬送室6A、6B的背面侧的相邻面的内侧角部附近彼此相邻。维护区域形成于处理组件3A背面的处理组件3B侧和处理组件3B背面的处理组件3A侧。
如虚拟线所示,维护门80A、80B以铰链82A、82B为中心,向搬送室6A、6B的背面侧后方水平地转动,由此背面维护口78A、78B打开。维护门80A以能够朝向搬送室6A左打开地开放至180°的方式构成。维护门80B以能够朝向搬送室6B右打开地开放至180°的方式构成。即,维护门80A沿顺时针方向朝向搬送室6A转动,维护门80B沿逆时针方向朝向搬送室6A转动。换言之,维护门80A、80B彼此沿相反方向转动。维护门80A、80B以可拆卸的方式构成,可以将其拆卸而进行维护。
在搬送室6A、6B的背面附近设置有设备系统(utility system)70A、70B。设备系统70A、70B隔着维护区域而相对地配置。进行设备系统70A、70B的维护时,从设备系统70A、70B的内侧、即设备系统70A、70B之间的空间(维护区域)进行。从壳体侧(搬送室6A、6B侧)起,设备系统70A、70B各自依次由排气箱74A、74B、供给箱72A、72B、控制器箱76A、76B构成。设备系统70A、70B的各箱的维护口各自形成于内侧(维护区域侧)。即,设备系统70A、70B的各箱的维护口以彼此相对的方式形成。
排气箱74A配置在位于搬送室6A的背面的与搬送室6B相反的一侧的外侧角部。排气箱74B配置在位于搬送室6B的背面的与搬送室6A相反的一侧的外侧角部。即,排气箱74A、74B以搬送室6A、6B的外侧侧面与排气箱74A、74B的外侧侧面平面连接的方式平坦地(平滑地)设置。在排气箱74A的与相邻于搬送室6A的一侧呈相反的一侧相邻地配置供给箱72A。在排气箱74B的与相邻于搬送室6B的一侧呈相反的一侧相邻地配置供给箱72B。
在俯视下,排气箱74A、74B的厚度(短边方向的宽度)小于供给箱72A、72B的厚度。换言之,相比于排气箱74A、74B,供给箱72A、72B更向维护区域侧突出。在供给箱72A、72B内配置有气体集成系统、大量的附带设备,因此有时厚度大于排气箱72A、72B。因此,通过将排气箱72A、72B设置于壳体侧,从而能够确保维护门80A、80B前的维护区域大。即,在俯视下,排气箱74A、74B之间的距离大于供给箱72A、72B之间的距离,因此与将供给箱72A、72B设置于壳体侧相比,将排气箱74A、74B设置于壳体侧时更能够确保较大的维护空间。
如图3所示,气体供给机构34A、34B的末级阀(位于气体供给系统的最下级的阀40a、40b)配置于排气箱74A、74B的上方。优选配置于排气箱74A、74B的正上方(正上面)。通过这样的构成,即使将供给箱72A、72B设置在远离壳体侧的位置,也能够缩短从末级阀至处理室内的配管长度,因此能够提高成膜的品质。
如图5所示,处理组件3A、3B及设备系统70A、70B的各构成相对于处理组件3A、3B的相邻面S1面对称地配置。以排气管46A、46B各自面对角部方向的方式、即排气管46A面对排气箱74A方向、排气管46B面对排气箱74B方向的方式设置反应管10A、10B。另外,以从末级阀至喷嘴的配管长度在处理组件3A、3B中为大致相同长度的方式配置配管。此外,如图5中的箭头所示,以晶片W的旋转方向也在处理炉4A、4B中成为彼此相反的方向的方式构成。
接下来,对处理装置2的维护进行说明。
以使得在用非活性气体对搬送室6A内进行循环吹扫的情况下无法打开维护门80A的方式设定联锁(interlock)。另外,还将联锁设定成在搬送室6A内的氧浓度低于大气压中的氧浓度的情况下也无法打开维护门80A。关于维护门80B也是同样。此外,以当打开维护门80A、80B时无法打开闸阀90A、90B的方式设定联锁。对于要在维护门80A、80B打开的状态下打开闸阀90A、90B的情况而言,通过在将处理装置2整体设为维护模式后将另行设置的维护开关打开,从而解除与闸阀90A、90B相关的联锁,能够使闸阀90A、90B打开。
打开维护门80A时,为了使搬送室6A内的氧浓度为大气中的氧浓度以上、优选上升至大气中的氧浓度,从清洁单元62A向搬送室6A内流入大气气氛。此时,为了使搬送室6A内的压力不高于移载室8内的压力,解除搬送室6A内的循环吹扫,将搬送室6A内的气氛向搬送室6A外排气,同时使清洁单元62A的送风机(fan)的转速低于循环吹扫时的转速,对大气向搬送室6A内的流入量进行控制。通过以这样的方式进行控制,能够在使搬送室6A内的氧浓度上升的同时,维持搬送室6A内的压力低于移载室8内的压力。
若搬送室6A内的氧浓度变得与大气压中的氧浓度同等,则联锁被解除,可打开维护门80A。此时,设定为:若搬送室6A内的压力高于移载室8内的压力,则即使搬送室6A内的氧浓度与大气压中的氧浓度同等,也仍无法打开维护门80A。维护门80A被打开时,使清洁单元62A的送风机的转速至少大于循环吹扫时的转速。更优选使清洁单元62A的送风机的转速最大。
移载室9内的维护从形成于移载室9的前方且未设置晶盒开启工具的部分的维护口78C进行。维护口78C构成为利用维护门而开闭。如上所述,在将处理装置2整体设为维护模式时,也可以将闸阀90A、90B打开,从闸阀90A、90B侧维护。即,移载室8内的维护可以从装置正面和装置背面中的任一者实施。
<由本实施方式带来的效果>
根据本实施方式,可获得以下所示的一个或多个效果。
(1)通过将设备系统从壳体侧起配置为排气箱、供给箱,从而能够使处理装置背面的维护区域变大。通过这样的构成,搬送室背面能够形成大的维护口,能够使维护性提高。另外,通过使处理装置背面的维护区域变大,从而无需在装置的两侧确保维护区域,因此可减少装置的占地面积。
(2)通过将左右处理组件的设备系统以彼此相对的方式设置于处理装置的两外侧侧面,从而能够将装置背面的空间作为左右处理组件共同的维护区域使用。例如,在以往的装置中,存在将供给箱和排气箱以相对的方式设置于装置背面的两端的情况。将这样构成的两个装置并排的情况下,在两个装置的边界线处,一个排气箱与另一个供给箱相邻。与此相对,根据本实施方式,在两个处理组件的边界线处未配置设备系统,因此能够确保大的维护区域。
(3)通过将气体供给系统的末级阀设置于排气箱的上方,从而能够缩短从末级阀至处理室的配管长度。即,能够抑制气体供给时的气体延迟、流量变动等,能够使成膜的品质提高。通常,成膜的品质受气流量、气体压力等气体供给条件影响,因此,为了向反应管内稳定地供给气体,优选将供给箱设置于壳体附近。然而,本发明中,通过将末级阀设置于反应管的附近,从而能够在不对成膜的品质造成不良影响的情况下将供给箱配置于远离壳体的位置。另外,通过将排气箱设置于从处理容器(反应管)延伸的排气管的下方、并在排气箱的正上面配置末级阀,从而能够将至处理室为止的配管长度缩短。此外,通过将末级阀设置于排气箱的正上面,从而末级阀的更换等维护变得容易。
(4)通过以处理组件的边界为界线以线对称的方式设置各构成,从而能够抑制左右处理组件中的成膜品质的不均。即,通过将处理组件内的各构成、设备系统、气体供给管配置、排气配管配置以线对称的方式设置,从而能够使从供给箱至反应管的配管长度、或从反应管至排气箱的配管长度在左右处理组件中大致相同。由此,能够在左右处理组件中以同样的条件实施成膜,能够使成膜的品质一致,因此能够提高生产率。
(5)通过将维护门以设置于两个处理组件的边界侧、并朝向另一个处理组件转动的方式构成,从而能够180度打开维护门,另外,搬送室背面能够形成大的维护口,因此能够使维护性提高。
(6)能够在一个处理组件中进行衬底处理、并在另一个处理组件、移载室内进行维护。由此,能够以不停止成膜处理的方式进行维护,能够使装置的运转率提升,能够提高生产率。
(7)当打开一个处理组件的维护门时,维持搬送室内的压力低于移载室内的压力,并且使搬送室内的氧浓度上升至大气压中的氧浓度,由此,能够抑制气氛从移载室侧的搬送室流入移载室。另外,通过在打开维护门后使搬送室内的清洁单元的送风机的转速大于循环吹扫时的转速,从而维护门打开后(将搬送室向大气开放后)也能够抑制气氛从搬送室内流入移载室内。通过这样的构成,即使在一个处理组件打开维护门,另一个处理组件也能够持续运转。即,即使在搬送室中进行维护,也能够保持移载室内的干净气氛,另外,能够抑制移载室内的氧浓度的上升,因此,能够在不对处于运转中的处理组件造成不良影响的情况下,对处于停止中的处理组件进行维护。由此,能够在使一个处理组件运转的状态下维护另一个处理组件,因此,维护时无需停止处理装置整体的运转,能够提高生产率。
以上,对本发明的实施方式具体地进行了说明。然而,本发明并不限定于上述实施方式,可以在不超出其要旨的范围内进行各种变更。
例如,在上述实施方式中,针对使用DCS气体作为原料气体的例子进行了说明,但本发明并不限定于这样的方式。例如,作为原料气体,除DCS气体外,也可以使用HCD(Si2Cl6:六氯二硅烷)气体、MCS(SiH3Cl:单氯硅烷)气体、TCS(SiHCl3:三氯硅烷)气体等无机系卤代硅烷原料气体、3DMAS(Si[N(CH3)2]3H:三(二甲基氨基)硅烷)气体、BTBAS(SiH2[NH(C4H9)]2:双(叔丁基氨基)硅烷)气体等不含卤代基的氨基系(胺系)硅烷原料气体、MS(SiH4:单硅烷)气体、DS(Si2H6:二硅烷)气体等不含卤代基的无机系硅烷原料气体。
例如,在上述实施方式中,对形成SiO2膜的例子进行了说明。然而,本发明并不限定于这样的方式。例如,除了这些外,或者在这些基础上,可以使用氨(NH3)气体等含氮(N)气体(氮化气体)、丙烯(C3H6)气体等含碳(C)气体、三氯化硼(BCl3)气体等含硼(B)气体等,形成SiN膜、SiON膜、SiOCN膜、SiOC膜、SiCN膜、SiBN膜、SiBCN膜等。在进行上述成膜的情况下,也可以在与上述实施方式同样的处理条件下进行成膜,可获得与上述实施方式同样的效果。
另外例如,本发明也能够合适地应用于下述情况:在晶片W上,形成包含钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铌(Nb)、铝(A1)、钼(Mo)、钨(W)等金属元素的膜即金属类膜。
在上述实施方式中,针对在晶片W上堆积膜的例子进行了说明,但本发明并不限定于这样的方式。例如,也能够合适地应用于下述情况:对晶片W、形成于晶片W上的膜等进行氧化处理、扩散处理、退火处理、蚀刻处理等处理。
另外,上述的实施方式、变形例可进行适当组合而使用。此时的处理条件可以为与例如上述实施方式、变形例同样的处理条件。
附图标记说明
3……处理组件
72……供给箱
74……排气箱
76……控制器箱

Claims (15)

1.衬底处理装置,其具备:
第1处理组件,其具有处理衬底的第1处理容器;
第2处理组件,其具有与所述第1处理容器相邻地配置的处理所述衬底的第2处理容器;
第1排气箱,其与所述第1处理组件背面相邻地配置,并收纳有对所述第1处理容器内进行排气的第1排气系统;
第1供给箱,其在所述第1排气箱的与相邻于所述第1处理组件背面的一侧呈相反的一侧相邻地配置,并收纳有向所述第1处理容器内供给处理气体的第1供给系统;
第2排气箱,其与所述第2处理组件背面相邻地配置,并收纳有对所述第2处理容器内进行排气的第2排气系统;和
第2供给箱,其在所述第2排气箱的与相邻于所述第2处理组件背面的一侧呈相反的一侧相邻地配置,并收纳有向所述第2处理容器内供给处理气体的第2供给系统,
其中,所述第1排气箱配置在位于所述第1处理组件背面的与所述第2处理组件侧相反的一侧的外侧角部,所述第2排气箱配置在位于所述第2处理组件背面的与所述第1处理组件侧相反的一侧的外侧角部。
2.如权利要求1所述的衬底处理装置,其中,所述第1排气箱与所述第2排气箱隔着维护区域相对地配置,所述维护区域位于所述第1处理组件背面的所述第2处理组件侧和所述第2处理组件背面的所述第1处理组件侧,并且,所述第1供给箱与所述第2供给箱隔着所述维护区域相对地配置。
3.如权利要求1所述的衬底处理装置,其中,
所述第1处理组件还具有配置于所述第1处理容器的下方的第1搬送室,所述第1搬送室向所述第1处理容器内搬入衬底并向所述第1处理容器外搬出所述衬底,
所述第2处理组件还具有与所述第1搬送室相邻的第2搬送室,所述第2搬送室向所述第2处理容器内搬入所述衬底并向所述第2处理容器外搬出所述衬底,
所述第1排气箱与所述第1搬送室相邻地设置,所述第2排气箱与所述第2搬送室相邻地设置,
所述第1排气箱配置在位于所述第1搬送室背面的与所述第2搬送室侧相反的一侧的外侧角部,所述第2排气箱配置在位于所述第2搬送室背面的与所述第1搬送室侧相反的一侧的外侧角部。
4.如权利要求1所述的衬底处理装置,其中,所述第1排气箱与所述第2排气箱之间的距离大于所述第1供给箱与所述第2供给箱之间的距离。
5.如权利要求2所述的衬底处理装置,其中,相比于所述第1排气箱,所述第2供给箱更向所述维护区域侧突出,相比于所述第1排气箱,所述第2供给箱更向所述维护区域侧突出。
6.如权利要求5所述的衬底处理装置,其中,所述第1排气箱的维护口与所述第2排气箱的维护口以彼此相对的方式配置于所述维护区域侧,所述第1排气箱的维护口与所述第2供给箱的维护口以彼此相对的方式配置于所述维护区域侧。
7.如权利要求3所述的衬底处理装置,其中,在所述第1搬送室的背面的所述第2搬送室侧设置有第1维护门,在所述第2搬送室的背面的所述第1搬送室侧设置有第2维护门。
8.如权利要求7所述的衬底处理装置,其中,所述第1维护门构成为能够以所述第2搬送室侧为基轴而转动,所述第2维护门构成为能够以所述第1搬送室侧为基轴而转动。
9.如权利要求8所述的衬底处理装置,其中,
在所述第1排气箱的上方(说明书中记载还可限定正上面或正上方而不是仅上方)配置有位于所述第1供给系统的最下游的阀,在所述第2排气箱的上方(说明书中记载还可限定正上面或正上方而不是仅上方)配置有位于所述第2供给系统的最下游的阀。
10.如权利要求9所述的衬底处理装置,其中,所述第1收纳容器内的构成与所述第2收纳容器内的构成相对于所述第1搬送室与所述第2搬送室的相邻面面对称地配置,所述第1搬送室内的构成与所述第2搬送室内的构成相对于所述第1搬送室与所述第2搬送室的相邻面面对称地配置。
11.如权利要求10所述的衬底处理装置,所述衬底处理装置还具备与所述第1搬送室的正面侧及所述第2搬送室的正面侧相邻的移载室,在所述移载室中,将所述衬底移载于所述第1搬送室内的第1衬底保持件及所述第2搬送室内的第2衬底保持件,
所述第1维护门构成为能够在所述第1搬送室内的压力低于所述移载室内的压力、并且所述第1搬送室内的氧浓度为大气中的氧浓度以上时打开。
12.半导体器件的制造方法,其具有下述工序:
第1处理工序,针对第1处理组件的第1处理容器内的衬底,从收纳于向所述第1处理容器内供给处理气体的第1供给箱的第1供给系统供给气体,同时利用收纳于第1排气箱的第1排气系统对所述第1处理容器内进行排气,对所述衬底进行处理,其中,所述第1排气箱与所述第1处理组件相邻地配置,且配置在位于所述第1处理组件背面的与相邻于所述第1处理组件的第2处理组件侧呈相反的一侧的外侧角部,所述第1供给箱在所述第1排气箱的与相邻于所述第1处理组件背面的一侧呈相反的一侧相邻地配置;
经由移载室从所述第1处理容器向与所述第1处理容器相邻的第2处理容器搬送所述衬底的工序;和
第2处理工序,针对所述第2处理组件的所述第2处理容器内的所述衬底,从收纳于向所述第2处理容器内供给处理气体的第2供给箱的第2供给系统供给气体,同时利用收纳于第2排气箱的第2排气系统对所述第2处理容器内进行排气,对所述衬底进行处理,其中,在所述第2排气箱与所述第2处理组件相邻地配置,且配置在位于所述第2处理组件背面的与所述第1处理组件侧相反的一侧的外侧角部,所述第2供给箱在所述第2排气箱的与相邻于所述第2处理组件背面的一侧呈相反的一侧相邻地配置。
13.如权利要求12所述的半导体器件的制造方法,所述制造方法还具有对配置于所述第1处理容器的下方的第1搬送室内进行维护的工序,
其中,同时进行所述维护工序和所述第2处理工序。
14.如权利要求13所述的半导体器件的制造方法,其中,所述维护工序具有下述步骤:
将所述第1搬送室内的压力维持在比所述移载室的压力低的压力,同时使所述第1搬送室内的氧浓度上升至大气中的氧浓度以上的氧浓度的步骤;和
打开在所述第1搬送室的背面形成的维护门的步骤。
15.记录介质,其存储有通过计算机使衬底处理装置执行下述步骤的程序,所述步骤具有:
针对第1处理组件的第1处理容器内的衬底,从收纳于向所述第1处理容器内供给处理气体的第1供给箱的第1供给系统供给气体,同时利用收纳于第1排气箱的第1排气系统对所述第1处理容器内进行排气,在所述衬底上形成膜的步骤,其中,所述第1排气箱与所述第1处理组件相邻地配置,且配置在位于所述第1处理组件背面的与相邻于所述第1处理组件的第2处理组件侧呈相反的一侧的外侧角部,所述第1供给箱在所述第1排气箱的与相邻于所述第1处理组件背面的一侧呈相反的一侧相邻地配置;
经由移载室从所述第1处理容器向与所述第1处理容器相邻的第2处理容器搬送所述衬底的步骤;和
针对所述第2处理组件的所述第2处理容器内的所述衬底,从收纳于向所述第2处理容器内供给处理气体的第2供给箱的第2供给系统供给气体,同时利用收纳于第2排气箱的第2排气系统对所述第2处理容器内进行排气,在所述衬底上形成膜的步骤,其中,在所述第2排气箱与所述第2处理组件相邻地配置,且配置在位于所述第2处理组件背面的与所述第1处理组件侧相反的一侧的外侧角部,所述第2供给箱在所述第2排气箱的与相邻于所述第2处理组件背面的一侧呈相反的一侧相邻地配置。
CN201680085181.XA 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质 Active CN109075020B (zh)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN202410181355.9A CN117894718A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181435.4A CN117913000A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN201910410031.7A CN110265322B (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181387.9A CN117855105A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181405.3A CN117855106A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/069486 WO2018003072A1 (ja) 2016-06-30 2016-06-30 基板処理装置、半導体装置の製造方法および記録媒体

Related Child Applications (5)

Application Number Title Priority Date Filing Date
CN202410181355.9A Division CN117894718A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181387.9A Division CN117855105A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181405.3A Division CN117855106A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN201910410031.7A Division CN110265322B (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181435.4A Division CN117913000A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质

Publications (2)

Publication Number Publication Date
CN109075020A true CN109075020A (zh) 2018-12-21
CN109075020B CN109075020B (zh) 2024-03-05

Family

ID=60786200

Family Applications (6)

Application Number Title Priority Date Filing Date
CN201680085181.XA Active CN109075020B (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181355.9A Pending CN117894718A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181405.3A Pending CN117855106A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN201910410031.7A Active CN110265322B (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181435.4A Pending CN117913000A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181387.9A Pending CN117855105A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质

Family Applications After (5)

Application Number Title Priority Date Filing Date
CN202410181355.9A Pending CN117894718A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181405.3A Pending CN117855106A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN201910410031.7A Active CN110265322B (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181435.4A Pending CN117913000A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质
CN202410181387.9A Pending CN117855105A (zh) 2016-06-30 2016-06-30 衬底处理装置、半导体器件的制造方法及记录介质

Country Status (6)

Country Link
US (4) US10636681B2 (zh)
JP (1) JP6621921B2 (zh)
KR (9) KR101969277B1 (zh)
CN (6) CN109075020B (zh)
SG (1) SG11201811656VA (zh)
WO (1) WO2018003072A1 (zh)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
WO2018003072A1 (ja) * 2016-06-30 2018-01-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018150536A1 (ja) 2017-02-17 2018-08-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019172274A1 (ja) * 2018-03-06 2019-09-12 株式会社Kokusai Electric 処理装置、排気システム、半導体装置の製造方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6896682B2 (ja) * 2018-09-04 2021-06-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US20200168485A1 (en) * 2018-11-28 2020-05-28 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7175201B2 (ja) 2019-01-10 2022-11-18 東京エレクトロン株式会社 処理装置
JP7105751B2 (ja) * 2019-01-10 2022-07-25 東京エレクトロン株式会社 処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6900412B2 (ja) * 2019-03-20 2021-07-07 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法及びプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP6980719B2 (ja) * 2019-06-28 2021-12-15 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7228612B2 (ja) * 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023159478A (ja) * 2020-09-25 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220130698A1 (en) * 2020-10-22 2022-04-28 Nanya Technology Corporation Gas purge device and gas purging method
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR102641087B1 (ko) * 2021-07-23 2024-02-28 ㈜온새미로 웨이퍼 매핑이 가능한 종형 확산로
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11195688A (ja) * 1997-12-26 1999-07-21 Mc Electronics Kk 基板処理装置
JP2002170781A (ja) * 2000-11-30 2002-06-14 Tokyo Electron Ltd 熱処理装置
JP2002198412A (ja) * 2001-10-24 2002-07-12 Tokyo Electron Ltd 搬送処理方法及び搬送処理装置
JP2002280317A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
US20080236488A1 (en) * 2004-07-13 2008-10-02 Mitsunori Takeshita Substrate Processing Apparatus and Manufacturing Method for a Semiconductor Device
JP2012099763A (ja) * 2010-11-05 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理装置の保守点検方法
CN104051295A (zh) * 2013-03-14 2014-09-17 株式会社日立高新技术 真空处理装置及其运转方法
JP2015192987A (ja) * 2014-03-19 2015-11-05 東レ株式会社 塗布装置、塗布方法、及びディスプレイ用部材の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
FR2761485B1 (fr) * 1997-03-28 1999-06-11 Instruments Sa Multiplexeur-demultiplexeur en longueur d'onde a fibres optiques
JPH11204449A (ja) * 1998-01-14 1999-07-30 Tokyo Electron Ltd 縦型熱処理装置
JP2001023872A (ja) * 1999-07-09 2001-01-26 Hitachi Ltd 半導体基板処理装置
JP4384770B2 (ja) * 2000-01-27 2009-12-16 株式会社日立国際電気 基板処理装置
JP4100466B2 (ja) * 2000-12-25 2008-06-11 東京エレクトロン株式会社 液処理装置
US20020170781A1 (en) * 2001-05-18 2002-11-21 Burkholder Robert F. Disk oil slinger assembly
JP4884607B2 (ja) * 2001-07-12 2012-02-29 東京エレクトロン株式会社 熱処理装置
JP4218821B2 (ja) * 2002-06-11 2009-02-04 株式会社日立国際電気 基板処理装置
JP4397646B2 (ja) 2003-07-30 2010-01-13 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR100666349B1 (ko) * 2005-03-04 2007-01-11 세메스 주식회사 증착 장치 및 상기 장치에서 마스크를 회수하는 방법.
US7918940B2 (en) 2005-02-07 2011-04-05 Semes Co., Ltd. Apparatus for processing substrate
US7553516B2 (en) 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7371998B2 (en) 2006-07-05 2008-05-13 Semitool, Inc. Thermal wafer processor
JP2008091761A (ja) 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5016294B2 (ja) * 2006-11-10 2012-09-05 東京エレクトロン株式会社 基板処理装置及び該装置の分析方法
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
KR101848573B1 (ko) * 2013-10-10 2018-04-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
WO2018003072A1 (ja) * 2016-06-30 2018-01-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11195688A (ja) * 1997-12-26 1999-07-21 Mc Electronics Kk 基板処理装置
JP2002170781A (ja) * 2000-11-30 2002-06-14 Tokyo Electron Ltd 熱処理装置
JP2002280317A (ja) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
JP2002198412A (ja) * 2001-10-24 2002-07-12 Tokyo Electron Ltd 搬送処理方法及び搬送処理装置
US20080236488A1 (en) * 2004-07-13 2008-10-02 Mitsunori Takeshita Substrate Processing Apparatus and Manufacturing Method for a Semiconductor Device
JP2012099763A (ja) * 2010-11-05 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理装置の保守点検方法
CN104051295A (zh) * 2013-03-14 2014-09-17 株式会社日立高新技术 真空处理装置及其运转方法
JP2015192987A (ja) * 2014-03-19 2015-11-05 東レ株式会社 塗布装置、塗布方法、及びディスプレイ用部材の製造方法

Also Published As

Publication number Publication date
KR20180107306A (ko) 2018-10-01
CN110265322B (zh) 2020-10-30
CN110265322A (zh) 2019-09-20
JPWO2018003072A1 (ja) 2019-01-17
KR101969277B1 (ko) 2019-04-15
US20200013639A1 (en) 2020-01-09
CN117894718A (zh) 2024-04-16
US10636681B2 (en) 2020-04-28
KR20180107303A (ko) 2018-10-01
KR20180107304A (ko) 2018-10-01
CN117913000A (zh) 2024-04-19
KR20220078725A (ko) 2022-06-10
US20230016879A1 (en) 2023-01-19
WO2018003072A1 (ja) 2018-01-04
CN117855105A (zh) 2024-04-09
KR101969275B1 (ko) 2019-04-15
CN117855106A (zh) 2024-04-09
KR20180054788A (ko) 2018-05-24
US20210217634A1 (en) 2021-07-15
KR101943808B1 (ko) 2019-01-29
CN109075020B (zh) 2024-03-05
US20190198359A1 (en) 2019-06-27
KR101974327B1 (ko) 2019-04-30
SG11201811656VA (en) 2019-01-30
JP6621921B2 (ja) 2019-12-18
KR101969276B1 (ko) 2019-04-15
US11456190B2 (en) 2022-09-27
KR20190045410A (ko) 2019-05-02
KR20240017095A (ko) 2024-02-06
US11062918B2 (en) 2021-07-13
KR20180107301A (ko) 2018-10-01
KR20180107305A (ko) 2018-10-01
KR101969274B1 (ko) 2019-04-15

Similar Documents

Publication Publication Date Title
CN109075020A (zh) 衬底处理装置、半导体器件的制造方法及记录介质
JP7429747B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6475135B2 (ja) 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具
JP6591711B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6591710B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6616917B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6591712B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP6625256B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
CN216049147U (zh) 作业用保护件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant