JPWO2012053302A1 - Euvリソグラフィー用レジスト上層膜形成組成物 - Google Patents

Euvリソグラフィー用レジスト上層膜形成組成物 Download PDF

Info

Publication number
JPWO2012053302A1
JPWO2012053302A1 JP2012539643A JP2012539643A JPWO2012053302A1 JP WO2012053302 A1 JPWO2012053302 A1 JP WO2012053302A1 JP 2012539643 A JP2012539643 A JP 2012539643A JP 2012539643 A JP2012539643 A JP 2012539643A JP WO2012053302 A1 JPWO2012053302 A1 JP WO2012053302A1
Authority
JP
Japan
Prior art keywords
group
formula
upper layer
layer film
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012539643A
Other languages
English (en)
Other versions
JP6004179B2 (ja
Inventor
坂本 力丸
力丸 坂本
邦慶 何
邦慶 何
貴文 遠藤
貴文 遠藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissan Chemical Corp
Original Assignee
Nissan Chemical Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissan Chemical Corp filed Critical Nissan Chemical Corp
Publication of JPWO2012053302A1 publication Critical patent/JPWO2012053302A1/ja
Application granted granted Critical
Publication of JP6004179B2 publication Critical patent/JP6004179B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • C08G8/10Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ with phenol
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • C08G8/24Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ with mixtures of two or more phenols which are not covered by only one of the groups C08G8/10 - C08G8/20
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L61/00Compositions of condensation polymers of aldehydes or ketones; Compositions of derivatives of such polymers
    • C08L61/04Condensation polymers of aldehydes or ketones with phenols only
    • C08L61/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/04Condensation polymers of aldehydes or ketones with phenols only
    • C09D161/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/22Oxygen
    • C08F12/24Phenols or alcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • C08F212/24Phenols or alcohols

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Toxicology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Phenolic Resins Or Amino Resins (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Emergency Medicine (AREA)

Abstract

【課題】EUVレジストとインターミキシングすることなく、EUV露光に際して好ましくない露光光、例えばUV光やDUV光を遮断してEUV光のみを選択的に透過し、また露光後に現像液で現像可能なEUVリソグラフィープロセスに用いるEUVレジスト上層膜形成組成物を提供する。【解決手段】主鎖又は側鎖にナフタレン環を含む樹脂及び溶剤を含むEUVリソグラフィー工程に用いるEUVレジスト上層膜形成組成物であって、樹脂が親水性基としてヒドロキシ基、カルボキシル基、スルホ基、又はこれらの基のうち少なくとも一つの基を含む一価の有機基を含む、EUVレジスト上層膜形成組成物。

Description

本発明は、EUVリソグラフィーを用いたデバイス作製工程に用いられるEUVによって及ぼされる悪影響を低減し、良好なレジストパターンを得るのに有効なEUVリソグラフィー用レジスト上層膜組成物、並びに該EUVリソグラフィー用レジスト上層膜組成物を用いる半導体の製造方法に関するものである。
従来から半導体デバイスの製造において、フォトリソグラフィー技術を用いた微細加工が行われている。前記微細加工はシリコンウェハー等の被加工基板上にフォトレジスト組成物の薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたフォトレジストパターンを保護膜としてシリコンウェハー等の被加工基板をエッチング処理する加工法である。近年、半導体デバイスの高集積度化が進み、使用される活性光線もKrFエキシマレーザー(248nm)からArFエキシマレーザー(193nm)へと短波長化されていった。これに伴い活性光線の基板からの乱反射や定在波の影響が大きな問題となり、フォトレジストと被加工基板の間に反射を防止する役目を担うレジスト下層膜として、反射防止膜(Bottom Anti−Reflective Coating、BARC)を設ける方法が広く採用されるようになってきた。
このような反射防止膜としては、チタン、二酸化チタン、窒化チタン、酸化クロム、カーボン、α−シリコン等の無機反射防止膜や、吸光性物質と高分子化合物とからなる有機反射防止膜が知られている。前者は膜形成に真空蒸着装置、CVD装置、スパッタリング装置等の設備を必要とするのに対し、後者は特別の設備を必要としない点で有利とされ数多くの検討が行われている。
近年、ArFエキシマレーザ(193nm)を用いたフォトリソグラフィー技術の後を担う次世代のフォトリソグラフィー技術として、水を介して露光するArF液浸リソグラフィー技術が盛んに検討されている。しかし、光を用いるフォトリソグラフィー技術は限界を迎えつつあり、ArF液浸リソグラフィー技術以降の新しいリソグラフィー技術として、EUV(波長13.5nm、極端紫外)光を用いるEUVリソグラフィー技術が注目されている。
EUVリソグラフィーを用いたデバイス作製工程では、EUVレジストを被覆した基板にEUV光を照射して露光し、現像し、レジストパターンを形成する。このとき、EUVレジストを汚染物質からの保護や、好ましくない放射線、例えばUV光やDUV(深紫外)光を遮断するために、EUVレジストの上層に、ベリリウム、硼素、炭素、珪素、ジルコニウム、ニオブ及びモリブデンからなる群から選択される一つ以上を包含するグループを含むポリマーを含む方法が開示されている(特許文献1、特許文献2)。
特開2004−348133号公報 特開2008−198788号公報
本発明はEUVレジストの上層膜として、EUVレジストとインターミキシングすることなく、EUV露光に際して好ましくない露光光、例えばUV光やDUV光を遮断してEUV光のみを選択的に透過し、また露光後に現像液で現像可能なEUVリソグラフィープロセスに用いるEUVレジスト上層膜形成組成物を提供する。
本発明は、第1観点として、主鎖又は側鎖にナフタレン環を含む樹脂及び溶剤を含む、EUVリソグラフィー工程に用いるEUVレジスト上層膜形成組成物に関する
第2観点として、樹脂が親水性基としてヒドロキシ基、カルボキシル基、スルホ基、又はこれらの基のうち少なくとも一つの基を含む一価の有機基を含むものである、第1観点に記載のEUVレジスト上層膜形成組成物に関する。
第3観点として、樹脂が式(1)で表される単位構造、又は、式(1)及び式(2)で表される単位構造を含む、第1観点又は第2観点に記載のEUVレジスト上層膜形成組成物に関する。:
Figure 2012053302
(上記式中、R1及びR3は、それぞれ独立して、ヒドロキシ基、カルボキシル基、スルホ基又はこれらの基のうち少なくとも一つの基を含む一価の有機基を表し、R2及びR4は、それぞれ独立して、炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、ベンジル基、フェニル基、ハロゲン原子、炭素原子数1〜10のアルコキシ基、ニトロ基、シアノ基、炭素原子数1〜10のアルキルチオ基、又はそれらの2種以上の組み合わせを表す。Ar1はベンゼン環又はアントラセン環を表す。n1及びn2はそれぞれ0乃至6の整数を表し、n3及びn4はそれぞれ0乃至ベンゼン環又はアントラセン環に置換し得る最大の整数である。但し、(n1)、又は(n1+n3)は少なくとも1である。)
第4観点として、樹脂が式(3)で表される単位構造、又は、式(3)及び式(4)で表される単位構造、又は、式(3)及び式(5)で表される単位構造、又は、式(3)及び式(4)及び式(5)で表される単位構造、又は、式(3)及び式(5)及び式(6)で表される単位構造、又は、式(3)及び式(4)及び式(5)及び式(6)で表される単位構造を含む、第1観点又は第2観点に記載のEUVレジスト上層膜形成組成物に関する。:
Figure 2012053302
(式中、Tは単結合、又はエーテル基、エステル基、カルボニル基、アミド基、又はこれらの基のうち少なくとも一つの基を含む二価の有機基を表す。R7、R10、R12及びR13は、それぞれ独立して、水素原子又はメチル基を表し、R5、R8及びR11は、それぞれ独立して、ヒドロキシ基、カルボキシル基、スルホ基又はこれらの基のうち少なくとも一つの基を含む一価の有機基を表し、R6及びR9は、それぞれ独立して、炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、ベンジル基、フェニル基、ハロゲン原子、炭素原子数1〜10のアルコキシ基、ニトロ基、シアノ基、炭素原子数1〜10のアルキルチオ基、又はそれらの2種以上の組み合わせを表す。n5及びn6はそれぞれ0乃至7の整数を表し、n8及びn9はそれぞれ0乃至5の整数を表す。但し、(n5)、(n5+n8)、(n5+n11)、又は(n5+n8+n11)は少なくとも1である。)
第5観点として、溶剤がアルコール系溶剤である、第1観点乃至第4観点のいずれか1項に記載のEUVレジスト上層膜形成組成物に関する。
第6観点として、更に酸化合物を含む、第1観点乃至第5観点のいずれか1項に記載のEUVレジスト上層膜形成組成物に関する。
第7観点として、酸化合物がスルホン酸化合物又はスルホン酸エステル化合物である、第6観点に記載のEUVレジスト上層膜形成組成物に関する。
第8観点として、酸化合物がヨードニウム塩系酸発生剤又はスルホニウム塩系酸発生剤である、第6観点に記載のEUVレジスト上層膜形成組成物に関する。
第9観点として、基板上にEUVレジスト膜を形成する工程、
該レジスト膜上に請求項1乃至請求項8のいずれか1項に記載のEUVレジスト上層膜形成組成物を塗布し焼成してEUVレジスト上層膜を形成する工程、
該レジスト上層膜とレジスト膜で被覆された半導体基板を露光する工程、
露光後に現像し該レジスト上層膜とレジスト膜を除去する工程、
を含む半導体装置の製造方法に関する。
第10観点として、露光がEUV(波長13.5nm)光により行われる、第9観点に記載の半導体装置の製造方法に関する。
本発明により、EUVレジストの上層膜として、EUVレジストとインターミキシングすることなく、EUV露光に際して好ましくない露光光、例えばUV光やDUV光などの帯域外光放射(out−of−BAND radiation)を遮断してEUV光のみを選択的に透過し、また露光後に現像液で現像可能なEUVレジスト上層膜を形成可能なEUVレジスト上層膜形成組成物を提供することができる。
特に本発明のEUVレジスト上層膜形成組成物は、EUV露光光に含まれる帯域外光放射のなかでも、最も望ましくないとされる200〜240nmのDUV光を吸収できるレジスト上層膜を提供でき、これにより、EUVレジストの解像性の向上を行うことができる。
また本発明のEUVレジスト上層膜形成組成物は、該組成物を半導体装置の製造に適用する際、下層に形成されるEUVレジストとインターミキシングが起きず、またEUV露光後には、EUVレジストと共に現像液によって除去可能である。
図1は、実施例1〜実施例3及び比較例1で得られたレジスト上層膜形成組成物(溶液)を用いて形成したレジスト上層膜を、それぞれ分光光度計を用いて波長200nm〜240nmにおける透過率を測定したグラフを示す図である。
本発明はEUVリソグラフィー工程に用いるEUVレジスト上層膜に好適な組成物を対象とする。
前述したように、EUVリソグラフィーを用いたデバイス作製工程では、EUVレジストを被覆した基板にEUV光を照射して露光させる。ここでEUVレジストの露光に際し、EUV光はEUV光以外に300nm以下の波長の光(すなわちUV光やDUV光)を5%程度含み得る。そして、例えば190〜300nm、190〜250nm、特に200〜240nm付近の波長光はEUVレジストの感度低下やパターン形状の劣化につながる。特に線幅が22nm以下になると、このUV光やDUV光といった帯域外光放射(out−of−BAND radiation)の影響が出始め、EUVレジストの解像性に悪影響を与える。こうした200〜240nm付近の望ましくない波長光を除去するために、リソグラフィーシステムにフィルターを設置する方法もあるが工程上複雑になるという課題がある。
一方、EUVレジストの上層にEUVレジスト上層膜を被覆する際に、EUVレジスト膜とEUVレジスト上層膜とのインターミキシング(層の混合)を防止するために、EUVレジスト上層膜形成時に用いる溶剤として、EUVレジストの溶剤を避けて、例えばアルコール系溶剤を用いることがあり、EUVレジスト上層膜材料にはアルコール系溶剤への高い溶解性も求められる。
こうした事情を鑑み、本発明者らは、EUVレジスト上層膜に用いられるポリマーとして、200〜240nm付近のDUV光を選択的に効率よく吸収するナフタレン環を含むポリマーを選択し、また、該ポリマーにおいて、アルコール溶剤への溶解性を高めるためヒドロキシ基、カルボキシル基、スルホ基やこれらの基を含む有機基からなる親水性基を含めるものとすることにより、帯域外光放射の影響を抑制し且つアルコール系溶剤に対して高い溶解性を有するEUVレジスト上層膜形成組成物を完成させるに至った。
しかも本発明の組成物より形成されるEUVレジスト上層膜は、その材料であるポリマーにヒドロキシ基、カルボキシル基、スルホ基やこれらの基を含む有機基からなる親水性基を有することで、現像液(例えば、アルカリ性現像液)に溶解可能であるため、露光後の現像時にEUVレジストと共に現像液による溶解除去が可能である。
以下、本発明を詳細に説明する。
本発明は主鎖又は側鎖にナフタレン環を含む樹脂を含むEUVリソグラフィー工程に用いるEUVレジスト上層膜形成組成物である。
上記EUVレジスト上層膜形成組成物は、ナフタレン環を含む樹脂及び溶剤を含有し、更に架橋剤、架橋触媒、界面活性剤を含むことができる。
本発明のEUVレジスト上層膜形成組成物の固形分は、0.1〜50質量%であり、好ましくは0.5〜30質量%である。固形分とはEUVレジスト上層膜形成組成物から溶剤成分を取り除いたものである。
上記樹脂のEUVレジスト上層膜形成組成物における含有量は、固形分中で20質量%以上、例えば20〜100質量%、又は30〜100質量%、又は50〜90質量%、又は60〜80質量%である。
上記樹脂は親水性基としてヒドロキシ基、カルボキシル基、スルホ基、又はこれらの基のうち少なくとも一つの基を含む一価の有機基を含むことができる。
上記樹脂は、前記式(1)で表される構造単位を含む樹脂であるか、又は、前記式(1)で表される構造単位と前記式(2)で表される単位構造の双方を含む樹脂とすることができる。
前記式(1)、式(2)においてR1及びR3は、それぞれ独立して、ヒドロキシ基、カルボキシル基、スルホ基、又はこれらの基のうち少なくとも一つの基を含む一価の有機基を表し、R2及びR4は、それぞれ独立して、炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、ベンジル基、フェニル基、ハロゲン原子、炭素原子数1〜10のアルコキシ基、ニトロ基、シアノ基、炭素原子数1〜10のアルキルチオ基、又はそれらの2種以上の組み合わせを表す。
Ar1はベンゼン環又はアントラセン環を表す。
n1及びn2はそれぞれ0乃至6の整数を表し、n3及びn4はそれぞれ0乃至ベンゼン環又はアントラセン環に置換し得る最大の整数(ベンゼン環:4、アントラセン環:8)を表す。但し(n1)又は(n1+n3)は少なくとも1である。少なくとも1とは例えば、1、2、3、又は4とすることができる。
なお、n1、n2、n3、n4が2以上の整数を表す場合、R1、R2、R3、R4はそれぞれ同一の基であってもよいし、異なる基であってもよい。
あるいは上記樹脂は、前記式(3)で表される構造単位を含む樹脂、式(3)で表される構造単位と式(4)で表される構造単位の二種の構造単位を含む樹脂、式(3)で表される構造単位と式(5)で表される構造単位の二種の構造単位を含む樹脂、式(3)で表される構造単位と式(4)で表される構造単位と式(5)で表される構造単位の三種の構造単位を含む樹脂、式(3)で表される構造単位と式(5)で表される構造単位と式(6)で表される構造単位の三種の構造単位を含む樹脂、又は式(3)で表される構造単位と式(4)で表される構造単位と式(5)で表される構造単位と式(6)で表される構造単位の四種の単位構造を含む樹脂とすることができる。
上記式(3)乃至式(6)中、R7、R10、R12及びR13は、それぞれ独立して、水素原子又はメチル基を表し、R5、R8及びR11は、それぞれ独立して、ヒドロキシ基、カルボキシル基、スルホ基又はこれらの基のうち少なくとも一つの基を含む一価の有機基を表し、R6及びR9は、それぞれ独立して、炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、ベンジル基、フェニル基、ハロゲン原子、炭素原子数1〜10のアルコキシ基、ニトロ基、シアノ基、炭素原子数1〜10のアルキルチオ基、又はそれらの2種以上の組み合わせを表す。
n5及びn6はそれぞれ0乃至7の整数を表し、n8及びn9はそれぞれ0乃至5の整数を表す。但し、(n5)、(n5+n8)、(n5+n11)、又は(n5+n8+n11)は少なくとも1を表す。少なくとも1とは例えば、1、2、3、又は4とすることができる。
なお、n5、n6、n7、n8が2以上の整数を表す場合、R5、R6、R7、R8はそれぞれ同一の基であってもよいし、異なる基であってもよい。
Tは単結合、又はエーテル基(−O−)、エステル基(−(CO)O−)、カルボニル基(−(CO)−)、アミド基(−(CO)−(NH)−)を表すか、又はこれらの基のうち少なくとも一つの基を含む二価の有機基を表す。
Tにおける二価の有機基とは、下記に例示される炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、フェニル基等から誘導される二価の有機基において、上記エーテル基、エステル基、カルボニル基、アミド基のうち少なくとも一つの基を含む二価の有機基である。
1及びR3における一価の有機基とは、下記に例示される炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、フェニル基等において、ヒドロキシ基、カルボキシル基、スルホ基、又はこれらの基のうち少なくとも一つの基を含む一価の有機基を含むものである。
5、R8及びR11における一価の有機基とは、下記に例示される炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、フェニル基において、ヒドロキシ基、カルボキシル基、スルホ基、又はこれらの基のうち少なくとも一つの基を含む一価の有機基を含むものである。
上記炭素原子数1〜10のアルキル基としては、メチル基、エチル基、n−プロピル基、i−プロピル基、シクロプロピル基、n−ブチル基、i−ブチル基、s−ブチル基、t−ブチル基、シクロブチル基、1−メチル−シクロプロピル基、2−メチル−シクロプロピル基、n−ペンチル基、1−メチル−n−ブチル基、2−メチル−n−ブチル基、3−メチル−n−ブチル基、1,1−ジメチル−n−プロピル基、1,2−ジメチル−n−プロピル基、2,2−ジメチル−n−プロピル基、1−エチル−n−プロピル基、シクロペンチル基、1−メチル−シクロブチル基、2−メチル−シクロブチル基、3−メチル−シクロブチル基、1,2−ジメチル−シクロプロピル基、2,3−ジメチル−シクロプロピル基、1−エチル−シクロプロピル基、2−エチル−シクロプロピル基、n−ヘキシル基、1−メチル−n−ペンチル基、2−メチル−n−ペンチル基、3−メチル−n−ペンチル基、4−メチル−n−ペンチル基、1,1−ジメチル−n−ブチル基、1,2−ジメチル−n−ブチル基、1,3−ジメチル−n−ブチル基、2,2−ジメチル−n−ブチル基、2,3−ジメチル−n−ブチル基、3,3−ジメチル−n−ブチル基、1−エチル−n−ブチル基、2−エチル−n−ブチル基、1,1,2−トリメチル−n−プロピル基、1,2,2−トリメチル−n−プロピル基、1−エチル−1−メチル−n−プロピル基、1−エチル−2−メチル−n−プロピル基、シクロヘキシル基、1−メチル−シクロペンチル基、2−メチル−シクロペンチル基、3−メチル−シクロペンチル基、1−エチル−シクロブチル基、2−エチル−シクロブチル基、3−エチル−シクロブチル基、1,2−ジメチル−シクロブチル基、1,3−ジメチル−シクロブチル基、2,2−ジメチル−シクロブチル基、2,3−ジメチル−シクロブチル基、2,4−ジメチル−シクロブチル基、3,3−ジメチル−シクロブチル基、1−n−プロピル−シクロプロピル基、2−n−プロピル−シクロプロピル基、1−i−プロピル−シクロプロピル基、2−i−プロピル−シクロプロピル基、1,2,2−トリメチル−シクロプロピル基、1,2,3−トリメチル−シクロプロピル基、2,2,3−トリメチル−シクロプロピル基、1−エチル−2−メチル−シクロプロピル基、2−エチル−1−メチル−シクロプロピル基、2−エチル−2−メチル−シクロプロピル基及び2−エチル−3−メチル−シクロプロピル基等が挙げられる。
上記炭素原子数2〜10のアルケニル基としては、エテニル基、1−プロペニル基、2−プロペニル基、1−メチル−1−エテニル基、1−ブテニル基、2−ブテニル基、3−ブテニル基、2−メチル−1−プロペニル基、2−メチル−2−プロペニル基、1−エチルエテニル基、1−メチル−1−プロペニル基、1−メチル−2−プロペニル基、1−ペンテニル基、2−ペンテニル基、3−ペンテニル基、4−ペンテニル基、1−n−プロピルエテニル基、1−メチル−1−ブテニル基、1−メチル−2−ブテニル基、1−メチル−3−ブテニル基、2−エチル−2−プロペニル基、2−メチル−1−ブテニル基、2−メチル−2−ブテニル基、2−メチル−3−ブテニル基、3−メチル−1−ブテニル基、3−メチル−2−ブテニル基、3−メチル−3−ブテニル基、1,1−ジメチル−2−プロペニル基、1−i−プロピルエテニル基、1,2−ジメチル−1−プロペニル基、1,2−ジメチル−2−プロペニル基、1−シクロペンテニル基、2−シクロペンテニル基、3−シクロペンテニル基、1−ヘキセニル基、2−ヘキセニル基、3−ヘキセニル基、4−ヘキセニル基、5−ヘキセニル基、1−メチル−1−ペンテニル基、1−メチル−2−ペンテニル基、1−メチル−3−ペンテニル基、1−メチル−4−ペンテニル基、1−n−ブチルエテニル基、2−メチル−1−ペンテニル基、2−メチル−2−ペンテニル基、2−メチル−3−ペンテニル基、2−メチル−4−ペンテニル基、2−n−プロピル−2−プロペニル基、3−メチル−1−ペンテニル基、3−メチル−2−ペンテニル基、3−メチル−3−ペンテニル基、3−メチル−4−ペンテニル基、3−エチル−3−ブテニル基、4−メチル−1−ペンテニル基、4−メチル−2−ペンテニル基、4−メチル−3−ペンテニル基、4−メチル−4−ペンテニル基、1,1−ジメチル−2−ブテニル基、1,1−ジメチル−3−ブテニル基、1,2−ジメチル−1−ブテニル基、1,2−ジメチル−2−ブテニル基、1,2−ジメチル−3−ブテニル基、1−メチル−2−エチル−2−プロペニル基、1−s−ブチルエテニル基、1,3−ジメチル−1−ブテニル基、1,3−ジメチル−2−ブテニル基、1,3−ジメチル−3−ブテニル基、1−i−ブチルエテニル基、2,2−ジメチル−3−ブテニル基、2,3−ジメチル−1−ブテニル基、2,3−ジメチル−2−ブテニル基、2,3−ジメチル−3−ブテニル基、2−i−プロピル−2−プロペニル基、3,3−ジメチル−1−ブテニル基、1−エチル−1−ブテニル基、1−エチル−2−ブテニル基、1−エチル−3−ブテニル基、1−n−プロピル−1−プロペニル基、1−n−プロピル−2−プロペニル基、2−エチル−1−ブテニル基、2−エチル−2−ブテニル基、2−エチル−3−ブテニル基、1,1,2−トリメチル−2−プロペニル基、1−t−ブチルエテニル基、1−メチル−1−エチル−2−プロペニル基、1−エチル−2−メチル−1−プロペニル基、1−エチル−2−メチル−2−プロペニル基、1−i−プロピル−1−プロペニル基、1−i−プロピル−2−プロペニル基、1−メチル−2−シクロペンテニル基、1−メチル−3−シクロペンテニル基、2−メチル−1−シクロペンテニル基、2−メチル−2−シクロペンテニル基、2−メチル−3−シクロペンテニル基、2−メチル−4−シクロペンテニル基、2−メチル−5−シクロペンテニル基、2−メチレン−シクロペンチル基、3−メチル−1−シクロペンテニル基、3−メチル−2−シクロペンテニル基、3−メチル−3−シクロペンテニル基、3−メチル−4−シクロペンテニル基、3−メチル−5−シクロペンテニル基、3−メチレン−シクロペンチル基、1−シクロヘキセニル基、2−シクロヘキセニル基及び3−シクロヘキセニル基等が挙げられる。
上記炭素原子数1〜10のアルコキシ基としては、メトキシ基、エトキシ基、n−プロポキシ基、i−プロポキシ基、n−ブトキシ基、i−ブトキシ基、s−ブトキシ基、t−ブトキシ基、n−ペンチルオキシ基、1−メチル−n−ブトキシ基、2−メチル−n−ブトキシ基、3−メチル−n−ブトキシ基、1,1−ジメチル−n−プロポキシ基、1,2−ジメチル−n−プロポキシ基、2,2−ジメチル−n−プロポキシ基、1−エチル−n−プロポキシ基、n−ヘキシルオキシ基、1−メチル−n−ペンチルオキシ基、2−メチル−n−ペンチルオキシ基、3−メチル−n−ペンチルオキシ基、4−メチル−n−ペンチルオキシ基、1,1−ジメチル−n−ブトキシ基、1,2−ジメチル−n−ブトキシ基、1,3−ジメチル−n−ブトキシ基、2,2−ジメチル−n−ブトキシ基、2,3−ジメチル−n−ブトキシ基、3,3−ジメチル−n−ブトキシ基、1−エチル−n−ブトキシ基、2−エチル−n−ブトキシ基、1,1,2−トリメチル−n−プロポキシ基、1,2,2−トリメチル−n−プロポキシ基、1−エチル−1−メチル−n−プロポキシ基、及び1−エチル−2−メチル−n−プロポキシ基等が挙げられる。
上記炭素原子数1〜10のアルキルチオ基としては、エチルチオ基、ブチルチオ基、ヘキシルチオ基、オクチルチオ基等が挙げられる。
上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、及びヨウ素原子が挙げられる。
本発明のEUVレジスト上層膜形成組成物に用いられる上記樹脂の重量平均分子量は500〜1,000,000、好ましくは700〜500,000、更に好ましくは1000〜300,000、更に好ましくは1,000〜100,000である。
上記樹脂は、例えば以下に例示する式(7−1)〜式(7−5)に記載する単位構造を含む樹脂(主鎖にナフタレン環を含む樹脂)、或いは、式(8−1)〜式(8−7)に記載する単位構造を含む樹脂(側鎖にナフタレン環を含む樹脂)を用いることができる。
Figure 2012053302
Figure 2012053302
Figure 2012053302
本発明のEUVレジスト上層膜形成組成物に含まれる上記溶剤としては、アルコール系溶剤を好ましく用いることができる。これらアルコール系溶剤としては例えば、1−ブタノール、2−ブタノール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−ジエチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、及びシクロヘキサノールが挙げられる。これらアルコール系溶剤を単独で又は混合物として用いることができる。
また、上記アルコール系溶剤と共に以下のその他の溶剤を併用することができる。その溶剤は、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、メチルセロソルブアセテート、エチルセロソルブアセテート、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、プロピレングリコール、プロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールプロピルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2−ヒドロキシプロピオン酸エチル、2−ヒドロキシ−2−メチルプロピオン酸エチル、エトシキ酢酸エチル、ヒドロキシ酢酸エチル、2−ヒドロキシ−3−メチルブタン酸メチル、3−メトキシプロピオン酸メチル、3−メトキシプロピオン酸エチル、3−エトキシプロピオン酸エチル、3−エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、酢酸エチル、酢酸ブチル、乳酸エチル、乳酸ブチル等を用いることができる。これらの有機溶剤は単独で、又は2種以上の組合せで使用される。
これらその他の溶剤は、前記アルコール系溶剤に対して0.01〜10.00質量%の割合で含有させることができる。
本発明のEUVレジスト上層膜形成組成物は、リソグラフィー工程で下層に存在するレジストとの酸性度を一致させる為に、更に酸化合物を含有させることができる。
酸化合物としては、例えばスルホン酸化合物又はスルホン酸エステル化合物を好適に使用可能である。
前記スルホン酸又はスルホン酸エステル化合物としては、例えば、p−トルエンスルホン酸、トリフルオロメタンスルホン酸、ピリジニウムp−トルエンスルホン酸、スルホサリチル酸などの酸性化合物、及び/又は、ベンゾイントシラート、2−ニトロベンジルトシラート等の熱酸発生剤を挙げることができ、また、サリチル酸、クエン酸、安息香酸、ヒドロキシ安息香酸、2,4,4,6−テトラブロモシクロヘキサジエノン等も使用することができる。
また本発明のEUVレジスト上層膜形成組成物は、リソグラフィー工程で下層に存在するレジストとの酸性度を一致させる為に、酸化合物として、EUV照射により酸を発生する酸発生剤を添加することができる。
好ましい酸発生剤としては、例えば、ビス(4−tert−ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート等のオニウム塩系酸発生剤類(例えばヨードニウム塩系酸発生剤、スルホニウム塩系酸発生剤)、フェニル−ビス(トリクロロメチル)−s−トリアジン等のハロゲン含有化合物系酸発生剤類、ベンゾイントシレート、N−ヒドロキシスクシンイミドトリフルオロメタンスルホネート等のスルホン酸系酸発生剤類等が挙げられる。
これら酸化合物の配合量は、本発明のEUVレジスト上層膜形成組成物の全固形分100質量%当たり、0.02〜10質量%、好ましくは0.04〜5質量%である。
本発明のEUVレジスト上層膜形成組成物には、上記以外に必要に応じて更なるレオロジー調整剤、接着補助剤、界面活性剤などを添加することができる。
レオロジー調整剤は、主にレジスト上層膜形成組成物の流動性を向上させるための目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジイソブチルフタレート、ジヘキシルフタレート、ブチルイソデシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジイソブチルアジペート、ジイソオクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、又はノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体を挙げることができる。
これらのレオロジー調整剤は、EUVレジスト上層膜形成組成物の全組成物100質量%に対して通常30質量%未満の割合で配合される。
本発明のEUVレジスト上層膜形成組成物には、ピンホールやストレーション等の発生がなく、表面むらに対する塗布性をさらに向上させるために、界面活性剤を配合することができる。界面活性剤としては、例えばポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリルエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤;エフトップEF301、EF303、EF352((株)トーケムプロダクツ(現:三菱マテリアル電子化成(株))製、メガファックF171、F173(DIC(株)製)、フロラードFC430、FC431(住友スリーエム(株)製)、アサヒガードAG710、サーフロンSー382、SC101、SC102、SC103、SC104、SC105、SC106(旭硝子(株)製)等のフッ素系界面活性剤;オルガノシロキサンポリマーKP341(信越化学工業(株)製)等を挙げることができる。
これらの界面活性剤の配合量は、本発明のレジスト上層膜形成組成物の全組成物100質量%当たり通常0.2質量%以下、好ましくは0.1質量%以下である。これらの界面活性剤は単独で添加してもよいし、また2種以上の組合せで添加することもできる。
本発明におけるEUVレジスト上層膜の下層に塗布されるEUVレジストとしてはネガ型、ポジ型いずれも使用できる。酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、EUV光によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト、EUV光によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジストなどがある。
本発明のEUVレジスト上層膜形成組成物を使用して形成したレジスト上層膜を有するポジ型レジストの現像液としては、水酸化ナトリウム、水酸化カリウム、炭酸ナトリウム、ケイ酸ナトリウム、メタケイ酸ナトリウム、アンモニア水等の無機アルカリ類、エチルアミン、n−プロピルアミン等の第一アミン類、ジエチルアミン、ジ−n−ブチルアミン等の第二アミン類、トリエチルアミン、メチルジエチルアミン等の第三アミン類、ジメチルエタノールアミン、トリエタノールアミン等のアルコールアミン類、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、コリン等の第四級アンモニウム塩、ピロール、ピペリジン等の環状アミン類、等のアルカリ類の水溶液を使用することができる。さらに、現像液として、上記アルカリ類の水溶液にイソプロピルアルコール等のアルコール類、ノニオン系等の界面活性剤を適当量添加して使用することもできる。これらの中で好ましい現像液は第四級アンモニウム塩、さらに好ましくはテトラメチルアンモニウムヒドロキシド及びコリンである。
本発明では、転写パターンを形成する加工対象膜を有する基板上に、EUVレジスト下層膜を用いるか又は用いずに、EUVレジスト膜を形成する工程、該レジスト膜上にEUVレジスト上層膜形成組成物を塗布し焼成してEUVレジスト上層膜を形成する工程、該レジスト上層膜とレジスト膜で被覆された半導体基板を露光する工程、露光後に現像し該レジスト上層膜とレジスト膜を除去する工程によって半導体装置を製造することができ、こうした工程を含む半導体装置の製造方法も本発明の対象である。
なお上記露光はEUV(波長13.5nm)光により行われる。
本発明のEUVレジスト上層膜形成組成物を適用する半導体装置は、その製造工程中に、基板上に、パターンを転写する加工対象膜と、レジスト膜と、レジスト上層膜が順に形成された構成をとり得る。本発明のEUVレジスト上層膜形成組成物より形成されるレジスト上層膜は、下地基板やEUVによって及ぼされる悪影響を低減することができ、EUV光の露光後にはストレート形状の良好なレジストパターンを形成し得、充分なEUV照射量に対するマージンを得ることができる。また、本発明のEUVレジスト上層膜形成組成物より形成されるレジスト上層膜は、その下層に形成されるレジスト膜と同等の大きなウエットエッチング速度を有し得、このため、ウエットエッチング工程によって加工対象である下地膜(パターンを転写する加工対象膜)に容易にレジストパターンを転写することができる。
実施例1
ナフトールノボラック樹脂(1−ナフトール:フェノール=50:50のモル比でホルムアルデヒドと反応しノボラック樹脂を合成した。この樹脂は、前述の式(7−2)に記載される構造単位を含む樹脂に相当する。重量平均分子量は3,200である。)1gを4−メチル−2−ペンタノール99gに溶解させ、EUVレジスト上層膜形成組成物(溶液)を得た。
実施例2
ナフトールノボラック樹脂(1−ナフトール:フェノール=70:30のモル比でホルムアルデヒドと反応しノボラック樹脂を合成した。この樹脂は、前述の式(7−2)に記載される構造単位を含む樹脂に相当する。重量平均分子量は2,800である。)1gを4−メチル−2−ペンタノール99gに溶解させ、EUVレジスト上層膜形成組成物(溶液)を得た。
実施例3
ビニルナフタレン含有樹脂(2−ビニルナフタレン:ヒドロキシスチレン:メタクリル酸=50:20:30の質量比でラジカル重合した。この樹脂は、前述の式(8−4)に記載される構造単位を含む樹脂に相当する。重量平均分子量は5,800である。)1gを4−メチル−2−ペンタノール99gに溶解させ、EUVレジスト上層膜形成組成物(溶液)を得た。
比較例1
ポリヒドロキシスチレン樹脂(市販品。重量平均分子量は8,000)1gを4−メチル−2−ペンタノール99gに溶解させ、EUVレジスト上層膜形成組成物(溶液)を得た。
〔レジストとのインターミキシング試験〕
EUVレジスト溶液(メタクリル系レジスト)をスピナーを用いて塗布した。ホットプレート上で、100℃で1分間加熱することによりレジスト膜を形成し、膜厚測定を行なった(膜厚A:レジスト膜厚)。
本発明の実施例1乃至実施例3、比較例1で調製されたレジスト上層膜形成組成物(溶液)を、スピナーを用いてレジスト膜上に塗布し、ホットプレート上で、100℃で1分間加熱し、レジスト上層膜を形成し、膜厚測定を行なった(膜厚B:レジストとレジスト上層膜の膜厚の和)。
そのレジスト上層膜上に市販の現像液(東京応化工業(株)製、製品名:NMD−3)を液盛りして60秒放置し、3,000rpmで回転させながら、30秒間純水でリンスを行った。リンス後、100℃で60秒間ベークし、膜厚測定を行なった(膜厚C)。得られた結果を表1に示す。
膜厚Aが膜厚Cに等しい場合、レジストとインターミキシングがないと言える。
Figure 2012053302
表1に示すように、実施例1乃至実施例3及び比較例1のいずれにおいても、膜厚Aと膜厚Cの数値が等しく、これらEUVレジスト上層膜形成組成物を用いて形成された膜はレジストとのインターミキシングをおこさないものであるとする結果が得られた。
〔光学パラメーター試験〕
本発明の実施例1乃至実施例3で調製されたレジスト上層膜形成組成物(溶液)、及び比較例1で示したレジスト上層膜形成組成物(溶液)を、それぞれスピナーを用いて石英基板上に塗布した。ホットプレート上で、100℃で1分間加熱し、レジスト上層膜(膜厚0.03μm)を形成した。そして、これら4種類のレジスト上層膜を、分光光度計を用い、波長190nm〜240nmでの透過率を測定した。測定結果を図1に示す。
DUV光の遮光性に関しては、200nm〜240nmの波長域において、透過率の最大値が60%以上を不良、60%未満を良好と評価した。得られた結果を表2に示す。
また、EUV光(13.5nm)の透過性につき、波長13.5nmでの透過率を元素組成比と膜密度の関係からシミュレーションにより計算した。そして、波長13.5nmにおいて80%以上の透過率を良好と評価し、80%未満を不良と評価した。得られた結果を表2に示す。なお実施例1乃至実施例3、比較例1での13.5nmの透過率はいずれも88(%)であった。
Figure 2012053302
表2に示すように、実施例1乃至実施例3はEUV光の透過性が良好であり且つDUV光の遮光性に優れるとする結果を示し、一方、比較例1はDUV光の遮光性に劣るとする結果を得た。
さらに詳細には、図1に示すように、実施例1乃至実施例3のレジスト上層膜形成組成物を用いて形成された膜は波長220nm〜240nmの光の透過率が40%を下回り、特に実施例1及び実施例2のレジスト上層膜形成組成物を用いて形成された膜にいたっては、波長200nm〜240nmに渡って光の透過率が40%を下回り、DUV光の遮光性が特に良好であるとする結果が得られた。
EUVレジストとインターミキシングすることなく、EUV露光に際して好ましくない露光光、例えばUVやDUVを遮断してEUVのみを選択的に透過し、また露光後に現像液で現像可能なEUVリソグラフィープロセスに用いるEUVレジスト上層膜を形成するための組成物である。

Claims (10)

  1. 主鎖又は側鎖にナフタレン環を含む樹脂及び溶剤を含む、EUVリソグラフィー工程に用いるEUVレジスト上層膜形成組成物。
  2. 樹脂が親水性基としてヒドロキシ基、カルボキシル基、スルホ基、又はこれらの基のうち少なくとも一つの基を含む一価の有機基を含むものである、請求項1に記載のEUVレジスト上層膜形成組成物。
  3. 樹脂が式(1)で表される単位構造、又は、式(1)及び式(2)で表される単位構造を含む、請求項1又は請求項2に記載のEUVレジスト上層膜形成組成物。:
    Figure 2012053302
    (上記式中、R1及びR3は、それぞれ独立して、ヒドロキシ基、カルボキシル基、スルホ基又はこれらの基のうち少なくとも一つの基を含む一価の有機基を表し、R2及びR4は、それぞれ独立して、炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、ベンジル基、フェニル基、ハロゲン原子、炭素原子数1〜10のアルコキシ基、ニトロ基、シアノ基、炭素原子数1〜10のアルキルチオ基、又はそれらの2種以上の組み合わせを表す。Ar1はベンゼン環又はアントラセン環を表す。n1及びn2はそれぞれ0乃至6の整数を表し、n3及びn4はそれぞれ0乃至ベンゼン環又はアントラセン環に置換し得る最大の整数である。但し、(n1)、又は(n1+n3)は少なくとも1である。)
  4. 樹脂が式(3)で表される単位構造、又は、式(3)及び式(4)で表される単位構造、又は、式(3)及び式(5)で表される単位構造、又は、式(3)及び式(4)及び式(5)で表される単位構造、又は、式(3)及び式(5)及び式(6)で表される単位構造、又は、式(3)及び式(4)及び式(5)及び式(6)で表される単位構造を含む、請求項1又は請求項2に記載のEUVレジスト上層膜形成組成物。:
    Figure 2012053302
    (式中、Tは単結合、又はエーテル基、エステル基、カルボニル基、アミド基、又はこれらの基のうち少なくとも一つの基を含む二価の有機基を表す。R7、R10、R12及びR13は、それぞれ独立して、水素原子又はメチル基を表し、R5、R8及びR11は、それぞれ独立して、ヒドロキシ基、カルボキシル基、スルホ基又はこれらの基のうち少なくとも一つの基を含む一価の有機基を表し、R6及びR9は、それぞれ独立して、炭素原子数1〜10のアルキル基、炭素原子数2〜10のアルケニル基、ベンジル基、フェニル基、ハロゲン原子、炭素原子数1〜10のアルコキシ基、ニトロ基、シアノ基、炭素原子数1〜10のアルキルチオ基、又はそれらの2種以上の組み合わせを表す。n5及びn6はそれぞれ0乃至7の整数を表し、n8及びn9はそれぞれ0乃至5の整数を表す。但し、(n5)、(n5+n8)、(n5+n11)、又は(n5+n8+n11)は少なくとも1である。)
  5. 溶剤がアルコール系溶剤である、請求項1乃至請求項4のいずれか1項に記載のEUVレジスト上層膜形成組成物。
  6. 更に酸化合物を含む、請求項1乃至請求項5のいずれか1項に記載のEUVレジスト上層膜形成組成物。
  7. 酸化合物がスルホン酸化合物又はスルホン酸エステル化合物である、請求項6に記載のEUVレジスト上層膜形成組成物。
  8. 酸化合物がヨードニウム塩系酸発生剤又はスルホニウム塩系酸発生剤である、請求項6に記載のEUVレジスト上層膜形成組成物。
  9. 基板上にEUVレジスト膜を形成する工程、
    該レジスト膜上に請求項1乃至請求項8のいずれか1項に記載のEUVレジスト上層膜形成組成物を塗布し焼成してEUVレジスト上層膜を形成する工程、
    該レジスト上層膜とレジスト膜で被覆された半導体基板を露光する工程、
    露光後に現像し該レジスト上層膜とレジスト膜を除去する工程、
    を含む半導体装置の製造方法。
  10. 露光がEUV(波長13.5nm)光により行われる、請求項9に記載の半導体装置の製造方法。
JP2012539643A 2010-10-21 2011-09-15 Euvリソグラフィー用レジスト上層膜形成組成物 Active JP6004179B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010236121 2010-10-21
JP2010236121 2010-10-21
PCT/JP2011/071139 WO2012053302A1 (ja) 2010-10-21 2011-09-15 Euvリソグラフィー用レジスト上層膜形成組成物

Publications (2)

Publication Number Publication Date
JPWO2012053302A1 true JPWO2012053302A1 (ja) 2014-02-24
JP6004179B2 JP6004179B2 (ja) 2016-10-05

Family

ID=45975024

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012539643A Active JP6004179B2 (ja) 2010-10-21 2011-09-15 Euvリソグラフィー用レジスト上層膜形成組成物

Country Status (6)

Country Link
US (1) US11675269B2 (ja)
JP (1) JP6004179B2 (ja)
KR (1) KR101915138B1 (ja)
CN (1) CN103168274B (ja)
TW (1) TWI586716B (ja)
WO (1) WO2012053302A1 (ja)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012168279A (ja) * 2011-02-10 2012-09-06 Tokyo Ohka Kogyo Co Ltd Euv用レジスト組成物、euv用レジスト組成物の製造方法、およびレジストパターン形成方法
US20180169279A1 (en) 2011-03-07 2018-06-21 The Trustees Of Columbia University In The City Of New York Apparatus, method and system for selectively affecting and/or killing a virus
JP6025756B2 (ja) 2011-03-07 2016-11-16 ザ トラスティーズ オブ コロンビア ユニバーシティ イン ザ シティ オブ ニューヨーク 殺菌装置、及び、殺菌装置の作動方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6230217B2 (ja) * 2011-09-06 2017-11-15 Jsr株式会社 レジストパターン形成方法
KR101969595B1 (ko) * 2011-09-06 2019-04-16 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 보호막 형성용 조성물
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5846046B2 (ja) * 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR102195151B1 (ko) 2012-09-07 2020-12-24 닛산 가가쿠 가부시키가이샤 리소그래피용 레지스트 상층막 형성 조성물 및 이를 이용한 반도체 장치의 제조방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5563051B2 (ja) 2012-12-13 2014-07-30 Azエレクトロニックマテリアルズマニュファクチャリング株式会社 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
KR102200511B1 (ko) 2013-01-24 2021-01-11 닛산 가가쿠 가부시키가이샤 리소그래피용 레지스트 상층막 형성 조성물 및 이것을 이용한 반도체장치의 제조방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014178542A (ja) * 2013-03-15 2014-09-25 Fujifilm Corp パターン形成方法、組成物キット、及びレジスト膜、並びにこれらを用いた電子デバイスの製造方法、及び電子デバイス
JP6157160B2 (ja) 2013-03-15 2017-07-05 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
JP6271150B2 (ja) * 2013-04-26 2018-01-31 富士フイルム株式会社 パターン形成方法、組成物キット、及び電子デバイスの製造方法
JP6119983B2 (ja) * 2013-06-28 2017-04-26 日産化学工業株式会社 リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6445760B2 (ja) * 2013-11-22 2018-12-26 三星電子株式会社Samsung Electronics Co.,Ltd. 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
JP6267532B2 (ja) 2014-02-14 2018-01-24 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9977331B2 (en) 2014-02-26 2018-05-22 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition and method for producing semiconductor device including the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102061488B1 (ko) * 2014-05-21 2020-01-03 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 상층막 형성용 조성물 및 이를 사용한 레지스트 패턴 형성 방법
WO2016013598A1 (ja) * 2014-07-24 2016-01-28 日産化学工業株式会社 レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6527937B2 (ja) * 2015-02-26 2019-06-12 富士フイルム株式会社 上層膜形成用組成物及びその製造方法、並びに、それを用いたパターン形成方法及び電子デバイスの製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6522739B2 (ja) 2015-03-31 2019-05-29 富士フイルム株式会社 上層膜形成用組成物、パターン形成方法、レジストパターン、及び、電子デバイスの製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110862497B (zh) * 2019-07-10 2022-07-29 厦门恒坤新材料科技股份有限公司 含萘侧基聚合物及其制备方法和硬掩膜组合物及图案形成方法
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102668700B1 (ko) * 2021-04-20 2024-05-23 엠에이치디 주식회사 반도체 장치의 미세 패턴 형성방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1319197B1 (en) * 2000-09-19 2007-06-06 Shipley Company LLC Antireflective composition
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
JP4563076B2 (ja) * 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
US7816067B2 (en) * 2005-06-10 2010-10-19 Nissan Chemical Industries, Ltd. Coating-type underlayer coating forming composition for lithography containing naphthalene resin derivative
US20070231713A1 (en) * 2006-03-31 2007-10-04 Bristol Robert L Anti-reflective coating for out-of-band illumination with lithography optical systems
JP5163899B2 (ja) * 2006-06-15 2013-03-13 日産化学工業株式会社 環構造を持つ高分子化合物を含有するポジ型感光性樹脂組成物
KR101429309B1 (ko) 2006-08-04 2014-08-11 제이에스알 가부시끼가이샤 패턴 형성 방법, 상층막 형성용 조성물, 및 하층막 형성용 조성물
JP4716027B2 (ja) * 2006-08-11 2011-07-06 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP4888655B2 (ja) * 2006-08-11 2012-02-29 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP2008198788A (ja) 2007-02-13 2008-08-28 Toshiba Corp レジストパターン形成方法
JP5067537B2 (ja) 2007-03-02 2012-11-07 日産化学工業株式会社 多核フェノールを含むレジスト下層膜形成組成物
JP4910829B2 (ja) * 2007-03-28 2012-04-04 Jsr株式会社 上層反射防止膜形成用組成物及びレジストパターン形成方法
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP4993139B2 (ja) * 2007-09-28 2012-08-08 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
JP2009164441A (ja) 2008-01-09 2009-07-23 Panasonic Corp パターン形成方法
JP5520489B2 (ja) * 2009-01-07 2014-06-11 富士フイルム株式会社 リソグラフィ用基板被覆方法、及び該方法に用いられる感活性光線または感放射線性樹脂組成物

Also Published As

Publication number Publication date
TWI586716B (zh) 2017-06-11
CN103168274A (zh) 2013-06-19
KR101915138B1 (ko) 2018-11-06
US11675269B2 (en) 2023-06-13
JP6004179B2 (ja) 2016-10-05
CN103168274B (zh) 2016-07-06
WO2012053302A1 (ja) 2012-04-26
US20130209940A1 (en) 2013-08-15
TW201224010A (en) 2012-06-16
KR20130129917A (ko) 2013-11-29

Similar Documents

Publication Publication Date Title
JP6004179B2 (ja) Euvリソグラフィー用レジスト上層膜形成組成物
TWI639645B (zh) 使用雙酚醛的含酚醛清漆樹脂之阻劑底層膜形成組成物
KR102417838B1 (ko) 방향족 메틸올 화합물이 반응된 노볼락 수지를 포함하는 레지스트 하층막 형성 조성물
KR102367638B1 (ko) 방향족 비닐화합물이 부가된 노볼락수지를 포함하는 레지스트 하층막 형성 조성물
KR102206511B1 (ko) 수산기를 갖는 아릴설폰산염 함유 레지스트 하층막 형성 조성물
JP7021636B2 (ja) トリアリールジアミン含有ノボラック樹脂を含むレジスト下層膜形成組成物
JP7355012B2 (ja) グリシジルエステル化合物との反応生成物を含むレジスト下層膜形成組成物
TW201829670A (zh) 使用溶劑取代法之阻劑圖型塗佈用組成物之製造方法
JP7265225B2 (ja) 芳香族ビニル化合物が付加したトリアリールジアミン含有ノボラック樹脂を含むレジスト下層膜形成組成物
WO2022138454A1 (ja) レジスト下層膜形成組成物
KR20220161272A (ko) 가교제의 변성이 억제된 레지스트 하층막 형성 조성물
JPWO2020090950A1 (ja) グリシジル基を有するアリーレン化合物との重合生成物を含む薬液耐性保護膜形成組成物
WO2022065374A1 (ja) フルオロアルキル基を有する有機酸またはその塩を含むレジスト下層膜形成組成物
WO2021070919A1 (ja) 複素環化合物を含むレジスト下層膜形成組成物
WO2024075720A1 (ja) レジスト下層膜形成用組成物
TW202122473A (zh) 含矽聚合物組成物之製造方法
TW202036163A (zh) 含有離子液體之阻劑下層膜形成組成物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150508

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151009

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160615

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20160622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160810

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160823

R151 Written notification of patent or utility model registration

Ref document number: 6004179

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350