KR101915138B1 - Euv 리소그래피용 레지스트 상층막 형성 조성물 - Google Patents

Euv 리소그래피용 레지스트 상층막 형성 조성물 Download PDF

Info

Publication number
KR101915138B1
KR101915138B1 KR1020137008604A KR20137008604A KR101915138B1 KR 101915138 B1 KR101915138 B1 KR 101915138B1 KR 1020137008604 A KR1020137008604 A KR 1020137008604A KR 20137008604 A KR20137008604 A KR 20137008604A KR 101915138 B1 KR101915138 B1 KR 101915138B1
Authority
KR
South Korea
Prior art keywords
group
euv
resist
upper layer
layer film
Prior art date
Application number
KR1020137008604A
Other languages
English (en)
Other versions
KR20130129917A (ko
Inventor
리키마루 사카모토
방칭 호
타카후미 엔도
Original Assignee
닛산 가가쿠 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 닛산 가가쿠 가부시키가이샤 filed Critical 닛산 가가쿠 가부시키가이샤
Publication of KR20130129917A publication Critical patent/KR20130129917A/ko
Application granted granted Critical
Publication of KR101915138B1 publication Critical patent/KR101915138B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • C08G8/10Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ with phenol
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • C08G8/24Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ with mixtures of two or more phenols which are not covered by only one of the groups C08G8/10 - C08G8/20
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L61/00Compositions of condensation polymers of aldehydes or ketones; Compositions of derivatives of such polymers
    • C08L61/04Condensation polymers of aldehydes or ketones with phenols only
    • C08L61/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/04Condensation polymers of aldehydes or ketones with phenols only
    • C09D161/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/22Oxygen
    • C08F12/24Phenols or alcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • C08F212/24Phenols or alcohols

Abstract

[과제] EUV 레지스트와 인터믹싱하는 일 없이, EUV 노광시에 바람직하지 않은 노광 광, 예를 들면 UV 광이나 DUV 광을 차단하여 EUV 광만을 선택적으로 투과하고, 또한 노광 후에 현상액으로 현상할 수 있는 EUV 리소그래피 프로세스에 이용하는 EUV 레지스트 상층막 형성 조성물을 제공한다.
[해결수단] 주쇄 또는 측쇄에 나프탈렌환을 포함하는 수지 및 용제를 포함하는 EUV 리소그래피 공정에 이용하는 EUV 레지스트 상층막 형성 조성물에 있어서, 수지가 친수성 기로서 하이드록시기, 카르복실기, 술포기, 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 포함하는, EUV 레지스트 상층막 형성 조성물.

Description

EUV 리소그래피용 레지스트 상층막 형성 조성물{COMPOSITION FOR FORMING OVERLAYING FILM FOR RESIST FOR EUV LITHOGRAPHY}
본 발명은, EUV 리소그래피를 이용한 디바이스 제작 공정에 이용되는 EUV에 의해 받는 악영향을 저감시켜, 양호한 레지스트 패턴을 얻기에 유효한 EUV 리소그래피용 레지스트 상층막 조성물, 그리고 이 EUV 리소그래피용 레지스트 상층막 조성물을 이용하는 반도체의 제조 방법에 관한 것이다.
종래부터 반도체 디바이스의 제조에서, 포토리소그래피 기술을 이용한 미세 가공이 행해지고 있다. 상기 미세 가공은 실리콘 웨이퍼 등의 피가공 기판 상에 포토 레지스트 조성물의 박막을 형성하고, 그 위에 반도체 디바이스의 패턴이 그려진 마스크 패턴을 통해 자외선 등의 활성 광선을 조사하고, 현상하여, 얻어진 포토 레지스트 패턴을 보호막으로 하여 실리콘 웨이퍼 등의 피가공 기판을 에칭 처리하는 가공법이다. 최근, 반도체 디바이스의 고집적도화가 진행되면서, 사용되는 활성 광선도 KrF 엑시머 레이저(248㎚)에서 ArF 엑시머 레이저(193㎚)로 단파장화 되고 있다. 이에 따라 활성 광선의 기판으로부터의 난반사나 정재파의 영향이 큰 문제가 되었으며, 포토 레지스트와 피가공 기판 사이에 반사를 방지하는 역할을 하는 레지스트 하층막으로서, 반사방지막(Bottom Anti-Reflective Coating, BARC)을 마련하는 방법이 널리 채용되게 되었다.
이러한 반사방지막으로는, 티탄, 이산화티타늄, 질화티타늄, 산화크롬, 카본, α-실리콘 등의 무기 반사방지막이나, 흡광성 물질과 고분자 화합물로 이루어진 유기 반사방지막이 알려져 있다. 전자는 막 형성에 진공증착 장치, CVD 장치, 스퍼터링 장치 등의 설비를 필요로 하는데 반해, 후자는 특별한 설비를 필요로 하지 않는 점에서 유리하다고 하여 수많은 검토가 이루어지고 있다.
최근에는, ArF 엑시머 레이저(193㎚)를 이용한 포토리소그래피 기술의 뒤를 이을 차세대 포토리소그래피 기술로서, 물을 통해 노광하는 ArF 액침 리소그래피 기술이 활발하게 검토되고 있다. 그러나, 빛을 이용하는 포토리소그래피 기술은 한계를 맞고 있으며, ArF 액침 리소그래피 기술 이후의 새로운 리소그래피 기술로서, EUV(파장 13.5㎚, 극단자외) 광을 이용하는 EUV 리소그래피 기술이 주목을 받고 있다.
EUV 리소그래피를 이용한 디바이스 제작 공정에서는, EUV 레지스트를 피복한 기판에 EUV 광을 조사하여 노광하고, 현상하여, 레지스트 패턴을 형성한다. 이때, EUV 레지스트를 오염물질로부터의 보호나, 바람직하지 않은 방사선, 예를 들면 UV 광이나 DUV(심자외) 광을 차단하기 위해서는, EUV 레지스트의 상층에, 베릴륨, 붕소, 탄소, 규소, 지르코늄, 니오브 및 몰리브덴으로 이루어진 군에서 선택되는 1개 이상을 포함하는 그룹을 포함하는 폴리머를 포함하는 방법이 개시되어 있다(특허문헌 1, 특허문헌 2).
일본특허공개 2004-348133호 공보 일본특허공개 2008-198788호 공보
본 발명은 EUV 레지스트의 상층막으로서, EUV 레지스트와 인터믹싱하는 일 없이, EUV 노광시에 바람직하지 않은 노광 광, 예를 들면 UV 광이나 DUV 광을 차단하여 EUV 광만을 선택적으로 투과하고, 또한 노광 후에 현상액으로 현상할 수 있는 EUV 리소그래피 프로세스에 이용하는 EUV 레지스트 상층막 형성 조성물을 제공한다.
본 발명은, 제1 관점으로서, 주쇄 또는 측쇄에 나프탈렌환을 포함하는 수지 및 용제를 포함하는, EUV 리소그래피 공정에 이용하는 EUV 레지스트 상층막 형성 조성물에 관한 것이다.
제2 관점으로서, 수지가 친수성 기로서 하이드록시기, 카르복실기, 술포기, 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 포함하는 것인, 제1 관점에 기재된 EUV 레지스트 상층막 형성 조성물에 관한 것이다.
제3 관점으로서, 수지가 식(1)로 표시되는 단위구조, 또는, 식(1) 및 식(2)로 표시되는 단위구조를 포함하는, 제1 관점 또는 제2 관점에 기재된 EUV 레지스트 상층막 형성 조성물에 관한 것이다. :
[화학식 1]
Figure 112013029179556-pct00001
(상기 식 중, R1 및 R3은, 각각 독립적으로, 하이드록시기, 카르복실기, 술포기 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 나타내고, R2 및 R4는, 각각 독립적으로, 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 벤질기, 페닐기, 할로겐원자, 탄소원자수 1 ~ 10의 알콕시기, 니트로기, 시아노기, 탄소원자수 1 ~ 10의 알킬티오기, 또는 이들의 2종 이상의 조합을 나타낸다. Ar1은 벤젠환 또는 안트라센환을 나타낸다. n1 및 n2는 각각 0 내지 6의 정수를 나타내고, n3 및 n4는 각각 0 내지 벤젠환 또는 안트라센환으로 치환할 수 있는 최대 정수이다. 단, (n1), 또는 (n1+n3)은 적어도 1이다.)
제4 관점으로서, 수지가 식(3)으로 표시되는 단위구조, 또는, 식(3) 및 식(4)로 표시되는 단위구조, 또는, 식(3) 및 식(5)로 표시되는 단위구조, 또는, 식(3) 및 식(4) 및 식(5)로 표시되는 단위구조, 또는, 식(3) 및 식(5) 및 식(6)으로 표시되는 단위구조, 또는, 식(3) 및 식(4) 및 식(5) 및 식(6)으로 표시되는 단위구조를 포함하는, 제1 관점 또는 제2 관점에 기재된 EUV 레지스트 상층막 형성 조성물에 관한 것이다. :
[화학식 2]
Figure 112013029179556-pct00002
(식 중, T는 단결합, 또는 에테르기, 에스테르기, 카르보닐기, 아미드기, 또는 이들 기 중 적어도 1개의 기를 포함하는 2가의 유기기를 나타낸다. R7, R10, R12 및 R13은, 각각 독립적으로, 수소원자 또는 메틸기를 나타내고, R5, R8 및 R11은, 각각 독립적으로, 하이드록시기, 카르복실기, 술포기 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 나타내고, R6 및 R9는, 각각 독립적으로, 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 벤질기, 페닐기, 할로겐원자, 탄소원자수 1 ~ 10의 알콕시기, 니트로기, 시아노기, 탄소원자수 1 ~ 10의 알킬티오기, 또는 이들의 2종 이상의 조합을 나타낸다. n5 및 n6은 각각 0 내지 7의 정수를 나타내고, n8 및 n9는 각각 0 내지 5의 정수를 나타낸다. 단, (n5), (n5+n8), (n5+n11), 또는 (n5+n8+n11)은 적어도 1이다.)
제5 관점으로서, 용제가 알코올계 용제인 제1 관점 내지 제4 관점 중 어느 한 관점에 기재된 EUV 레지스트 상층막 형성 조성물에 관한 것이다.
제6 관점으로서, 산 화합물을 추가로 포함하는, 제1 관점 내지 제5 관점 중 어느 한 관점에 기재된 EUV 레지스트 상층막 형성 조성물에 관한 것이다.
제7 관점으로서, 산 화합물이 술폰산 화합물 또는 술폰산에스테르 화합물인, 제6 관점에 기재된 EUV 레지스트 상층막 형성 조성물에 관한 것이다.
제8 관점으로서, 산 화합물이 요오드늄염계 산 발생제 또는 술포늄염계 산 발생제인 제6 관점에 기재된 EUV 레지스트 상층막 형성 조성물에 관한 것이다.
제9 관점으로서, 기판 상에 EUV 레지스트 막을 형성하는 공정,
상기 레지스트 막 상에 제1항 내지 제8항 중 어느 한 항에 기재된 EUV 레지스트 상층막 형성 조성물을 도포하고 소성하여 EUV 레지스트 상층막을 형성하는 공정,
상기 레지스트 상층막과 레지스트 막으로 피복된 반도체 기판을 노광하는 공정,
노광 후에 현상하여 상기 레지스트 상층막과 레지스트 막을 제거하는 공정,
을 포함하는 반도체 장치의 제조 방법에 관한 것이다.
제10 관점으로서, 노광이 EUV(파장 13.5㎚) 광에 의해 행해지는, 제9 관점에 기재된 반도체 장치의 제조 방법에 관한 것이다.
본 발명에 의해, EUV 레지스트의 상층막으로서, EUV 레지스트와 인터믹싱하는 일 없이, EUV 노광시에 바람직하지 않은 노광 광, 예를 들면 UV 광이나 DUV 광 등의 대역 외 광방사(out-of-BAND radiation)를 차단하여 EUV 광만을 선택적으로 투과하고, 또한 노광 후에 현상액으로 현상할 수 있는 EUV 레지스트 상층막을 형성할 수 있는 EUV 레지스트 상층막 형성 조성물을 제공할 수 있다.
특히, 본 발명의 EUV 레지스트 상층막 형성 조성물은, EUV 노광 광에 포함되는 대역 외 광방사 중에서도, 가장 바람직하지 않다고 여겨지는 200 ~ 240㎚의 DUV 광의 흡수가 가능한 레지스트 상층막을 제공할 수 있으며, 이에 따라, EUV 레지스트의 해상성을 향상시킬 수 있다.
또한, 본 발명의 EUV 레지스트 상층막 형성 조성물은, 이 조성물을 반도체 장치의 제조에 적용하는 경우, 하층에 형성되는 EUV 레지스트와 인터믹싱이 일어나지 않고, 또한 EUV 노광 후에는, EUV 레지스트와 함께 현상액에 의해 제거할 수 있다.
도 1은, 실시예 1 ~ 실시예 3 및 비교예 1에서 얻어진 레지스트 상층막 형성 조성물(용액)을 이용하여 형성한 레지스트 상층막을, 각각 분광 광도계를 이용하여 파장 200㎚ ~ 240㎚에서의 투과율을 측정한 그래프를 나타내는 도면이다.
본 발명은 EUV 리소그래피 공정에 이용하는 EUV 레지스트 상층막에 적합한 조성물을 대상으로 한다.
상술한 바와 같이, EUV 리소그래피를 이용한 디바이스 제작 공정에서는, EUV 레지스트를 피복한 기판에 EUV 광을 조사하여 노광시킨다. 여기서, EUV 레지스트의 노광시에, EUV 광은 EUV 광 이외에 300㎚ 이하의 파장 광(즉, UV 광이나 DUV 광)을 5% 정도 포함할 수 있다. 그리고, 예를 들면 190 ~ 300㎚, 190 ~ 250㎚, 특히 200 ~ 240㎚ 부근의 파장 광은 EUV 레지스트의 감도 저하나 패턴형상의 열화로 이어진다. 특히 선 폭이 22㎚ 이하가 되면, 이 UV 광이나 DUV 광과 같은 대역 외 광방사(out-of-BAND radiation)의 영향이 나오기 시작하여, EUV 레지스트의 해상성에 악영향을 준다. 이러한 200 ~ 240㎚ 부근의 바람직하지 않은 파장 광을 제거하기 위하여, 리소그래피 시스템에 필터를 설치하는 방법도 있으나 공정상 복잡해진다는 과제가 있다.
한편, EUV 레지스트의 상층에 EUV 레지스트 상층막을 피복할 때에, EUV 레지스트 막과 EUV 레지스트 상층막과의 인터믹싱(층의 혼합)을 방지하기 위하여, EUV 레지스트 상층막 형성시에 이용하는 용제로서, EUV 레지스트의 용제를 피해서, 예를 들면 알코올계 용제를 이용하는 경우가 있으며, EUV 레지스트 상층막 재료에는 알코올계 용제에 대한 높은 용해성도 요구된다.
이러한 사정을 감안하여, 본 발명자들은, EUV 레지스트 상층막에 이용되는 폴리머로서, 200 ~ 240㎚ 부근의 DUV 광을 선택적으로 효율좋게 흡수하는 나프탈렌환을 포함하는 폴리머를 선택하고, 또한, 상기 폴리머에 있어서, 알코올 용제에 대한 용해성을 높이기 위하여 하이드록시기, 카르복실기, 술포기나 이들 기를 포함하는 유기기로 이루어진 친수성 기를 포함시키는 것으로 함으로써, 대역 외 광방사의 영향을 억제하고 또한 알코올계 용제에 대하여 높은 용해성을 갖는 EUV 레지스트 상층막 형성 조성물을 완성시켰다.
게다가, 본 발명의 조성물로 형성되는 EUV 레지스트 상층막은, 그 재료인 폴리머에 하이드록시기, 카르복실기, 술포기나 이들 기를 포함하는 유기기로 이루어진 친수성 기를 가짐으로써, 현상액(예를 들면, 알칼리성 현상액)에 용해 가능하므로, 노광 후 현상시에 EUV 레지스트와 함께 현상액에 의한 용해 제거가 가능하다.
이하, 본 발명을 상세하게 설명한다.
본 발명은 주쇄 또는 측쇄에 나프탈렌환을 포함하는 수지를 포함하는 EUV 리소그래피 공정에 이용하는 EUV 레지스트 상층막 형성 조성물이다.
상기 EUV 레지스트 상층막 형성 조성물은, 나프탈렌환을 포함하는 수지 및 용제를 함유하며, 가교제, 가교촉매, 계면활성제를 추가로 포함할 수 있다.
본 발명의 EUV 레지스트 상층막 형성 조성물의 고형분은, 0.1 ~ 50질량%이고, 바람직하게는 0.5 ~ 30질량%이다. 고형분이란 EUV 레지스트 상층막 형성 조성물에서 용제 성분을 뺀 것을 말한다.
상기 수지의 EUV 레지스트 상층막 형성 조성물에 있어서의 함유량은, 고형분 중에서 20질량% 이상, 예를 들어 20 ~ 100질량%, 또는 30 ~ 100질량%, 또는 50 ~ 90질량%, 또는 60 ~ 80질량%이다.
상기 수지는 친수성 기로서 하이드록시기, 카르복실기, 술포기, 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 포함할 수 있다.
상기 수지는, 상기 식(1)로 표시되는 구조단위를 포함하는 수지이거나, 또는, 상기 식(1)로 표시되는 구조단위와 상기 식(2)로 표시되는 단위구조 모두를 포함하는 수지로 할 수 있다.
상기 식(1), 식(2)에서 R1 및 R3은, 각각 독립적으로, 하이드록시기, 카르복실기, 술포기, 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 나타내고, R2 및 R4는, 각각 독립적으로, 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 벤질기, 페닐기, 할로겐원자, 탄소원자수 1 ~ 10의 알콕시기, 니트로기, 시아노기, 탄소원자수 1 ~ 10의 알킬티오기, 또는 이들의 2종 이상의 조합을 나타낸다.
Ar1은 벤젠환 또는 안트라센환을 나타낸다.
n1 및 n2는 각각 0 내지 6의 정수를 나타내고, n3 및 n4는 각각 0 내지 벤젠환 또는 안트라센환으로 치환할 수 있는 최대 정수(벤젠환: 4, 안트라센환: 8)를 나타낸다. 단, (n1) 또는 (n1+n3)은 적어도 1이다. 적어도 1이란, 예를 들어 1, 2, 3 또는 4로 할 수 있다.
한편, n1, n2, n3, n4가 2 이상의 정수를 나타내는 경우, R1, R2, R3, R4는 각각 동일한 기일 수도 있고, 상이한 기일 수도 있다.
혹은, 상기 수지는, 상기 식(3)으로 표시되는 구조단위를 포함하는 수지, 식(3)으로 표시되는 구조단위와 식(4)로 표시되는 구조단위의 2종의 구조단위를 포함하는 수지, 식(3)으로 표시되는 구조단위와 식(5)로 표시되는 구조단위의 2종의 구조단위를 포함하는 수지, 식(3)으로 표시되는 구조단위와 식(4)로 표시되는 구조단위와 식(5)로 표시되는 구조단위의 3종의 구조단위를 포함하는 수지, 식(3)으로 표시되는 구조단위와 식(5)로 표시되는 구조단위와 식(6)으로 표시되는 구조단위의 3종의 구조단위를 포함하는 수지, 또는 식(3)으로 표시되는 구조단위와 식(4)로 표시되는 구조단위와 식(5)로 표시되는 구조단위와 식(6)으로 표시되는 구조단위의 4종의 단위구조를 포함하는 수지로 할 수 있다.
상기 식(3) 내지 식(6) 중, R7, R10, R12 및 R13은, 각각 독립적으로, 수소원자 또는 메틸기를 나타내고, R5, R8 및 R11은, 각각 독립적으로, 하이드록시기, 카르복실기, 술포기 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 나타내고, R6 및 R9는, 각각 독립적으로, 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 벤질기, 페닐기, 할로겐원자, 탄소원자수 1 ~ 10의 알콕시기, 니트로기, 시아노기, 탄소원자수 1 ~ 10의 알킬티오기, 또는 이들의 2종 이상의 조합을 나타낸다.
n5 및 n6은 각각 0 내지 7의 정수를 나타내고, n8 및 n9는 각각 0 내지 5의 정수를 나타낸다. 단, (n5), (n5+n8), (n5+n11), 또는 (n5+n8+n11)은 적어도 1을 나타낸다. 적어도 1이란, 예를 들어 1, 2, 3 또는 4로 할 수 있다.
한편, n5, n6, n7, n8이 2 이상의 정수를 나타내는 경우, R5, R6, R7, R8은 각각 동일한 기일 수도 있고, 상이한 기일 수도 있다.
T는 단결합, 또는 에테르기(-O-), 에스테르기(-(CO)O-), 카르보닐기(-(CO)-), 아미드기(-(CO)-(NH)-)를 나타내거나, 또는 이들 기 중 적어도 1개의 기를 포함하는 2가의 유기기를 나타낸다.
T에 있어서 2가의 유기기란, 하기에 예시되는 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 페닐기 등으로부터 유도되는 2가의 유기기에 있어서, 상기 에테르기, 에스테르기, 카르보닐기, 아미드기 중 적어도 1개의 기를 포함하는 2가의 유기기이다.
R1 및 R3에서의 1가의 유기기란, 하기에 예시되는 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 페닐기 등에 있어서, 하이드록시기, 카르복실기, 술포기, 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 포함하는 것이다.
R5, R8 및 R11에서의 1가의 유기기란, 하기에 예시되는 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 페닐기에 있어서, 하이드록시기, 카르복실기, 술포기, 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 포함하는 것이다.
상기 탄소원자수 1 ~ 10의 알킬기로는, 메틸기, 에틸기, n-프로필기, i-프로필기, 시클로프로필기, n-부틸기, i-부틸기, s-부틸기, t-부틸기, 시클로부틸기, 1-메틸-시클로프로필기, 2-메틸-시클로프로필기, n-펜틸기, 1-메틸-n-부틸기, 2-메틸-n-부틸기, 3-메틸-n-부틸기, 1,1-디메틸-n-프로필기, 1,2-디메틸-n-프로필기, 2,2-디메틸-n-프로필기, 1-에틸-n-프로필기, 시클로펜틸기, 1-메틸-시클로부틸기, 2-메틸-시클로부틸기, 3-메틸-시클로부틸기, 1,2-디메틸-시클로프로필기, 2,3-디메틸-시클로프로필기, 1-에틸-시클로프로필기, 2-에틸-시클로프로필기, n-헥실기, 1-메틸-n-펜틸기, 2-메틸-n-펜틸기, 3-메틸-n-펜틸기, 4-메틸-n-펜틸기, 1,1-디메틸-n-부틸기, 1,2-디메틸-n-부틸기, 1,3-디메틸-n-부틸기, 2,2-디메틸-n-부틸기, 2,3-디메틸-n-부틸기, 3,3-디메틸-n-부틸기, 1-에틸-n-부틸기, 2-에틸-n-부틸기, 1,1,2-트리메틸-n-프로필기, 1,2,2-트리메틸-n-프로필기, 1-에틸-1-메틸-n-프로필기, 1-에틸-2-메틸-n-프로필기, 시클로헥실기, 1-메틸-시클로펜틸기, 2-메틸-시클로펜틸기, 3-메틸-시클로펜틸기, 1-에틸-시클로부틸기, 2-에틸-시클로부틸기, 3-에틸-시클로부틸기, 1,2-디메틸-시클로부틸기, 1,3-디메틸-시클로부틸기, 2,2-디메틸-시클로부틸기, 2,3-디메틸-시클로부틸기, 2,4-디메틸-시클로부틸기, 3,3-디메틸-시클로부틸기, 1-n-프로필-시클로프로필기, 2-n-프로필-시클로프로필기, 1-i-프로필-시클로프로필기, 2-i-프로필-시클로프로필기, 1,2,2-트리메틸-시클로프로필기, 1,2,3-트리메틸-시클로프로필기, 2,2,3-트리메틸-시클로프로필기, 1-에틸-2-메틸-시클로프로필기, 2-에틸-1-메틸-시클로프로필기, 2-에틸-2-메틸-시클로프로필기 및 2-에틸-3-메틸-시클로프로필기 등을 들 수 있다.
상기 탄소원자수 2 ~ 10의 알케닐기로는, 에테닐기, 1-프로페닐기, 2-프로페닐기, 1-메틸-1-에테닐기, 1-부테닐기, 2-부테닐기, 3-부테닐기, 2-메틸-1-프로페닐기, 2-메틸-2-프로페닐기, 1-에틸에테닐기, 1-메틸-1-프로페닐기, 1-메틸-2-프로페닐기, 1-펜테닐기, 2-펜테닐기, 3-펜테닐기, 4-펜테닐기, 1-n-프로필에테닐기, 1-메틸-1-부테닐기, 1-메틸-2-부테닐기, 1-메틸-3-부테닐기, 2-에틸-2-프로페닐기, 2-메틸-1-부테닐기, 2-메틸-2-부테닐기, 2-메틸-3-부테닐기, 3-메틸-1-부테닐기, 3-메틸-2-부테닐기, 3-메틸-3-부테닐기, 1,1-디메틸-2-프로페닐기, 1-i-프로필에테닐기, 1,2-디메틸-1-프로페닐기, 1,2-디메틸-2-프로페닐기, 1-시클로펜테닐기, 2-시클로펜테닐기, 3-시클로펜테닐기, 1-헥세닐기, 2-헥세닐기, 3-헥세닐기, 4-헥세닐기, 5-헥세닐기, 1-메틸-1-펜테닐기, 1-메틸-2-펜테닐기, 1-메틸-3-펜테닐기, 1-메틸-4-펜테닐기, 1-n-부틸에테닐기, 2-메틸-1-펜테닐기, 2-메틸-2-펜테닐기, 2-메틸-3-펜테닐기, 2-메틸-4-펜테닐기, 2-n-프로필-2-프로페닐기, 3-메틸-1-펜테닐기, 3-메틸-2-펜테닐기, 3-메틸-3-펜테닐기, 3-메틸-4-펜테닐기, 3-에틸-3-부테닐기, 4-메틸-1-펜테닐기, 4-메틸-2-펜테닐기, 4-메틸-3-펜테닐기, 4-메틸-4-펜테닐기, 1,1-디메틸-2-부테닐기, 1,1-디메틸-3-부테닐기, 1,2-디메틸-1-부테닐기, 1,2-디메틸-2-부테닐기, 1,2-디메틸-3-부테닐기, 1-메틸-2-에틸-2-프로페닐기, 1-s-부틸에테닐기, 1,3-디메틸-1-부테닐기, 1,3-디메틸-2-부테닐기, 1,3-디메틸-3-부테닐기, 1-i-부틸에테닐기, 2,2-디메틸-3-부테닐기, 2,3-디메틸-1-부테닐기, 2,3-디메틸-2-부테닐기, 2,3-디메틸-3-부테닐기, 2-i-프로필-2-프로페닐기, 3,3-디메틸-1-부테닐기, 1-에틸-1-부테닐기, 1-에틸-2-부테닐기, 1-에틸-3-부테닐기, 1-n-프로필-1-프로페닐기, 1-n-프로필-2-프로페닐기, 2-에틸-1-부테닐기, 2-에틸-2-부테닐기, 2-에틸-3-부테닐기, 1,1,2-트리메틸-2-프로페닐기, 1-t-부틸에테닐기, 1-메틸-1-에틸-2-프로페닐기, 1-에틸-2-메틸-1-프로페닐기, 1-에틸-2-메틸-2-프로페닐기, 1-i-프로필-1-프로페닐기, 1-i-프로필-2-프로페닐기, 1-메틸-2-시클로펜테닐기, 1-메틸-3-시클로펜테닐기, 2-메틸-1-시클로펜테닐기, 2-메틸-2-시클로펜테닐기, 2-메틸-3-시클로펜테닐기, 2-메틸-4-시클로펜테닐기, 2-메틸-5-시클로펜테닐기, 2-메틸렌-시클로펜틸기, 3-메틸-1-시클로펜테닐기, 3-메틸-2-시클로펜테닐기, 3-메틸-3-시클로펜테닐기, 3-메틸-4-시클로펜테닐기, 3-메틸-5-시클로펜테닐기, 3-메틸렌-시클로펜틸기, 1-시클로헥세닐기, 2-시클로헥세닐기 및 3-시클로헥세닐기 등을 들 수 있다.
상기 탄소원자수 1 ~ 10의 알콕시기로는, 메톡시기, 에톡시기, n-프로폭시기, i-프로폭시기, n-부톡시기, i-부톡시기, s-부톡시기, t-부톡시기, n-펜틸옥시기, 1-메틸-n-부톡시기, 2-메틸-n-부톡시기, 3-메틸-n-부톡시기, 1,1-디메틸-n-프로폭시기, 1,2-디메틸-n-프로폭시기, 2,2-디메틸-n-프로폭시기, 1-에틸-n-프로폭시기, n-헥실옥시기, 1-메틸-n-펜틸옥시기, 2-메틸-n-펜틸옥시기, 3-메틸-n-펜틸옥시기, 4-메틸-n-펜틸옥시기, 1,1-디메틸-n-부톡시기, 1,2-디메틸-n-부톡시기, 1,3-디메틸-n-부톡시기, 2,2-디메틸-n-부톡시기, 2,3-디메틸-n-부톡시기, 3,3-디메틸-n-부톡시기, 1-에틸-n-부톡시기, 2-에틸-n-부톡시기, 1,1,2-트리메틸-n-프로폭시기, 1,2,2-트리메틸-n-프로폭시기, 1-에틸-1-메틸-n-프로폭시기 및 1-에틸-2-메틸-n-프로폭시기 등을 들 수 있다.
상기 탄소원자수 1 ~ 10의 알킬티오기로는, 에틸티오기, 부틸티오기, 헥실티오기, 옥틸티오기 등을 들 수 있다.
상기 할로겐원자로는, 불소원자, 염소원자, 브롬원자 및 요오드원자를 들 수 있다.
본 발명의 EUV 레지스트 상층막 형성 조성물에 이용되는 상기 수지의 중량평균 분자량은 500 ~ 1,000,000, 바람직하게는 700 ~ 500,000, 더욱 바람직하게는 1000 ~ 300,000, 더욱 바람직하게는 1,000 ~ 100,000이다.
상기 수지는, 예를 들어 이하에 예시하는 식(7-1) ~ 식(7-5)에 기재하는 단위구조를 포함하는 수지(주쇄에 나프탈렌환을 포함하는 수지), 혹은, 식(8-1) ~ 식(8-7)에 기재하는 단위구조를 포함하는 수지(측쇄에 나프탈렌환을 포함하는 수지)를 이용할 수 있다.
[화학식 3]
Figure 112013029179556-pct00003

[화학식 4]
Figure 112013029179556-pct00004

[화학식 5]
Figure 112013029179556-pct00005

본 발명의 EUV 레지스트 상층막 형성 조성물에 포함되는 상기 용제로는, 알코올계 용제를 바람직하게 이용할 수 있다. 이들 알코올계 용제로는 예를 들면, 1-부탄올, 2-부탄올, 이소부틸알코올, tert-부틸알코올, 1-펜탄올, 2-펜탄올, 3-펜탄올, tert-아밀알코올, 네오펜틸알코올, 2-메틸-1-부탄올, 3-메틸-1-부탄올, 3-메틸-3-펜탄올, 시클로펜탄올, 1-헥사놀, 2-헥사놀, 3-헥사놀, 2,3-디메틸-2-부탄올, 3,3-디메틸-1-부탄올, 3,3-디메틸-2-부탄올, 2-디에틸-1-부탄올, 2-메틸-1-펜탄올, 2-메틸-2-펜탄올, 2-메틸-3-펜탄올, 3-메틸-1-펜탄올, 3-메틸-2-펜탄올, 3-메틸-3-펜탄올, 4-메틸-1-펜탄올, 4-메틸-2-펜탄올, 4-메틸-3-펜탄올 및 시클로헥사놀을 들 수 있다. 이들 알코올계 용제를 단독으로 또는 혼합물로 이용할 수 있다.
또한, 상기 알코올계 용제와 함께 이하의 기타 용제를 병용할 수 있다. 그 용제는, 에틸렌글리콜모노메틸에테르, 에틸렌글리콜모노에틸에테르, 메틸셀로솔브아세테이트, 에틸셀로솔브아세테이트, 디에틸렌글리콜모노메틸에테르, 디에틸렌글리콜모노에틸에테르, 프로필렌글리콜, 프로필렌글리콜모노메틸에테르, 프로필렌글리콜모노메틸에테르아세테이트, 프로필렌글리콜프로필에테르아세테이트, 톨루엔, 자일렌, 메틸에틸케톤, 시클로펜타논, 시클로헥사논, 2-하이드록시프로피온산에틸, 2-하이드록시-2-메틸프로피온산에틸, 에톡시아세트산에틸, 하이드록시아세트산에틸, 2-하이드록시-3-메틸부탄산메틸, 3-메톡시프로피온산메틸, 3-메톡시프로피온산에틸, 3-에톡시프로피온산에틸, 3-에톡시프로피온산메틸, 피루브산메틸, 피루브산에틸, 아세트산에틸, 아세트산부틸, 유산에틸, 유산부틸 등을 이용할 수 있다. 이들 유기용제는 단독으로, 또는 2종 이상의 조합으로 사용된다.
이들 기타 용제는, 상기 알코올계 용제에 대하여 0.01 ~ 10.00질량%의 비율로 함유시킬 수 있다.
본 발명의 EUV 레지스트 상층막 형성 조성물은, 리소그래피 공정에서 하층에 존재하는 레지스트와의 산성도를 일치시키기 위해, 산 화합물을 추가로 함유시킬 수 있다.
산 화합물로는, 예를 들면 술폰산 화합물 또는 술폰산에스테르 화합물을 적합하게 사용 가능하다.
상기 술폰산 또는 술폰산에스테르 화합물로는, 예를 들면, p-톨루엔술폰산, 트리플루오로메탄술폰산, 피리디늄p-톨루엔술폰산, 술포살리실산 등의 산성 화합물 및/또는, 벤조인토실레이트, 2-니트로벤질토실레이트 등의 열산 발생제를 들 수 있으며, 또한, 살리실산, 구연산, 안식향산, 하이드록시안식향산, 2,4,4,6-테트라브로모시클로헥사디에논 등도 사용할 수 있다.
또한 본 발명의 EUV 레지스트 상층막 형성 조성물은, 리소그래피 공정에서 하층에 존재하는 레지스트와의 산성도를 일치시키기 위해, 산 화합물로서, EUV 조사에 의해 산을 발생하는 산 발생제를 첨가할 수 있다.
바람직한 산 발생제로는, 예를 들면, 비스(4-tert-부틸페닐)요오드늄트리플루오로메탄술포네이트, 트리페닐술포늄트리플루오로메탄술포네이트 등의 오늄염계 산 발생제류(예를 들면, 요오드늄염계 산 발생제, 술포늄염계 산 발생제), 페닐-비스(트리클로로메틸)-s-트리아진 등의 할로겐 함유 화합물계 산 발생제류, 벤조인토실레이트, N-하이드록시숙신이미드트리플루오로메탄술포네이트 등의 술폰산계 산 발생제류 등을 들 수 있다.
이들 산 화합물의 배합량은, 본 발명의 EUV 레지스트 상층막 형성 조성물의 전체 고형분 100질량% 당, 0.02 ~ 10질량%, 바람직하게는 0.04 ~ 5질량%이다.
본 발명의 EUV 레지스트 상층막 형성 조성물에는, 상기 이외에 필요에 따라 레올로지 조정제, 접착보조제, 계면활성제 등을 추가로 첨가할 수 있다.
레올로지 조정제는, 주로 레지스트 상층막 형성 조성물의 유동성을 향상시키기 위한 목적으로 첨가된다. 구체예로는, 디메틸프탈레이트, 디에틸프탈레이트, 디이소부틸프탈레이트, 디헥실프탈레이트, 부틸이소데실프탈레이트 등의 프탈산 유도체, 디노말부틸아디페이트, 디이소부틸아디페이트, 디이소옥틸아디페이트, 옥틸데실아디페이트 등의 아디프산 유도체, 디노말부틸말레이트, 디에틸말레이트, 디노닐말레이트 등의 말레산 유도체, 메틸올레이트, 부틸올레이트, 테트라하이드로푸르푸릴올레이트 등의 올레인산 유도체, 또는 노말부틸스테아레이트, 글리세릴스테아레이트 등의 스테아린산 유도체를 들 수 있다.
이들 레올로지 조정제는, EUV 레지스트 상층막 형성 조성물의 전체 조성물 100질량%에 대하여 통상 30질량% 미만의 비율로 배합된다.
본 발명의 EUV 레지스트 상층막 형성 조성물에는, 핀홀이나 스트리에이션 등의 발생 없이, 표면 얼룩에 대한 도포성을 더욱 향상시키기 위해, 계면활성제를 배합할 수 있다. 계면활성제로는, 예를 들면 폴리옥시에틸렌라우릴에테르, 폴리옥시에틸렌스테아릴에테르, 폴리옥시에틸렌세틸에테르, 폴리옥시에틸렌올레일에테르 등의 폴리옥시에틸렌알킬에테르류, 폴리옥시에틸렌옥틸페놀에테르, 폴리옥시에틸렌노닐페놀에테르 등의 폴리옥시에틸렌알킬알릴에테르류, 폴리옥시에틸렌·폴리옥시프로필렌 블록 코폴리머류, 솔비탄모노라우레이트, 솔비탄모노팔미테이트, 솔비탄모노스테아레이트, 솔비탄모노올리에이트, 솔비탄트리올리에이트, 솔비탄트리스테아레이트 등의 솔비탄지방산에스테르류, 폴리옥시에틸렌솔비탄모노라우레이트, 폴리옥시에틸렌솔비탄모노팔미테이트, 폴리옥시에틸렌솔비탄모노스테아레이트, 폴리옥시에틸렌솔비탄트리올리에이트, 폴리옥시에틸렌솔비탄트리스테아레이트 등의 폴리옥시에틸렌솔비탄지방산에스테르류 등의 비이온계 계면활성제; EFTOP EF301, EF303, EF352(Tohkem products Corporation(현: Mitsubishi Materials Electronic Chemicals Co., Ltd.제, MEGAFAC F171, F173(Dainippon Ink and Chemicals, Inc.제), FLUORAD FC430, FC431(Sumitomo 3M Limited제), ASAHI GUARD AG710, SURFLON S-382, SC101, SC102, SC103, SC104, SC105, SC106(Asahi Glass Co., Ltd.제) 등의 불소계 계면활성제; Organosiloxane polymer KP341(Shin-Etsu Chemical Co., Ltd.제) 등을 들 수 있다.
이들 계면활성제의 배합량은, 본 발명의 레지스트 상층막 형성 조성물의 전체 조성물 100질량% 당 통상 0.2질량% 이하, 바람직하게는 0.1질량% 이하이다. 이들 계면활성제는 단독으로 첨가할 수도 있고, 또한, 2종 이상의 조합으로 첨가할 수도 있다.
본 발명에서의 EUV 레지스트 상층막의 하층에 도포되는 EUV 레지스트로는 네가티브형, 포지티브형 중 어느 것이나 사용 가능하다. 산 발생제와 산에 의해 분해되어 알칼리 용해 속도를 변화시키는 기를 갖는 바인더로 이루어진 화학증폭형 레지스트, 알칼리 가용성 발생제와 산발생제와 산에 의해 분해되어 레지스트의 알칼리 용해 속도를 변화시키는 저분자 화합물로 이루어진 화학증폭형 레지스트, 산 발생제와 산에 의해 분해되어 알칼리 용해 속도를 변화시키는 기를 갖는 바인더와 산에 의해 분해되어 레지스트의 알칼리 용해 속도를 변화시키는 저분자 화합물로 이루어진 화학증폭형 레지스트, EUV 광에 의해 분해되어 알칼리 용해 속도를 변화시키는 기를 갖는 바인더로 이루어진 비화학증폭형 레지스트, EUV 광에 의해 절단되어 알칼리 용해 속도를 변화시키는 부위를 갖는 바인더로 이루어진 비화학증폭형 레지스트 등이 있다.
본 발명의 EUV 레지스트 상층막 형성 조성물을 사용하여 형성한 레지스트 상층막을 갖는 포지티브형 레지스트의 현상액으로는, 수산화나트륨, 수산화칼륨, 탄산나트륨, 규산나트륨, 메타규산나트륨, 암모니아수 등의 무기알칼리류, 에틸아민, n-프로필아민 등의 제1 아민류, 디에틸아민, 디-n-부틸아민 등의 제2 아민류, 트리에틸아민, 메틸디에틸아민 등의 제3 아민류, 디메틸에탄올아민, 트리에탄올아민 등의 알코올아민류, 테트라메틸암모늄하이드록시드, 테트라에틸암모늄하이드록시드, 콜린 등의 제4급 암모늄염, 피롤, 피페리딘 등의 환상 아민류, 등의 알칼리류의 수용액을 사용할 수 있다. 그리고, 현상액으로서, 상기 알칼리류의 수용액에 이소프로필알코올 등의 알코올류, 비이온계 등의 계면활성제를 적당량 첨가하여 사용할 수도 있다. 이들 중에서 바람직한 현상액은 제4급 암모늄염, 더욱 바람직하게는 테트라메틸암모늄하이드록시드 및 콜린이다.
본 발명에서는, 전사 패턴을 형성하는 가공 대상 막을 갖는 기판 상에, EUV 레지스트 하층막을 이용하거나 또는 이용하지 않고서, EUV 레지스트 막을 형성하는 공정, 상기 레지스트 막 상에 EUV 레지스트 상층막 형성 조성물을 도포하고 소성하여 EUV 레지스트 상층막을 형성하는 공정, 상기 레지스트 상층막과 레지스트 막으로 피복된 반도체 기판을 노광하는 공정, 노광 후에 현상하여 상기 레지스트 상층막과 레지스트 막을 제거하는 공정에 의해 반도체 장치를 제조할 수 있으며, 이러한 공정을 포함하는 반도체 장치의 제조 방법도 본 발명의 대상이다.
한편, 상기 노광은 EUV(파장 13.5㎚) 광에 의해 행해진다.
본 발명의 EUV 레지스트 상층막 형성 조성물을 적용하는 반도체 장치는, 그 제조 공정 중에, 기판 상에, 패턴을 전사하는 가공 대상 막과, 레지스트 막과, 레지스트 상층막이 순서대로 형성된 구성을 채용할 수 있다. 본 발명의 EUV 레지스트 상층막 형성 조성물로 형성되는 레지스트 상층막은, 하지 기판이나 EUV에 의해 받는 악영향을 저감시킬 수 있어, EUV 광의 노광 후에는 스트레이트 형상이 양호한 레지스트 패턴을 형성할 수 있으며, 충분한 EUV 조사량에 대한 마진을 얻을 수 있다. 또한, 본 발명의 EUV 레지스트 상층막 형성 조성물로 형성되는 레지스트 상층막은, 그 하층에 형성되는 레지스트 막과 동등한 큰 웨트 에칭 속도를 가질 수 있고, 이 때문에, 웨트 에칭 공정에 의해 가공 대상인 하지막(패턴을 전사하는 가공 대상 막)에 레지스트 패턴을 용이하게 전사할 수 있다.
(실시예)
실시예 1
나프톨노볼락 수지(1-나프톨:페놀=50:50의 몰비로 포름알데히드와 반응하여 노볼락 수지를 합성하였다. 이 수지는, 상술한 식(7-2)에 기재되는 구조단위를 포함하는 수지에 상당한다. 중량평균 분자량은 3,200이다.) 1g을 4-메틸-2-펜탄올 99g에 용해시켜, EUV 레지스트 상층막 형성 조성물(용액)을 얻었다.
실시예 2
나프톨노볼락 수지(1-나프톨:페놀=70:30의 몰비로 포름알데히드와 반응하여 노볼락 수지를 합성하였다. 이 수지는, 상술한 식(7-2)에 기재되는 구조단위를 포함하는 수지에 상당한다. 중량평균 분자량은 2,800이다.) 1g을 4-메틸-2-펜탄올 99g에 용해시켜, EUV 레지스트 상층막 형성 조성물(용액)을 얻었다.
실시예 3
비닐나프탈렌 함유 수지(2-비닐나프탈렌:하이드록시스티렌:메타크릴산=50:20:30의 질량비로 라디칼 중합하였다. 이 수지는, 상술한 식(8-4)에 기재되는 구조단위를 포함하는 수지에 상당한다. 중량평균 분자량은 5,800이다.) 1g을 4-메틸-2-펜탄올 99g에 용해시켜, EUV 레지스트 상층막 형성 조성물(용액)을 얻었다.
비교예 1
폴리하이드록시스티렌 수지(시판품. 중량평균 분자량은 8,000) 1g을 4-메틸-2-펜탄올 99g에 용해시켜, EUV 레지스트 상층막 형성 조성물(용액)을 얻었다.
〔레지스트와의 인터믹싱 시험〕
EUV 레지스트 용액(메타크릴계 레지스트)을 스피너를 이용하여 도포하였다. 핫플레이트 상에서, 100℃에서 1분간 가열함으로써 레지스트 막을 형성한 다음, 막두께 측정을 행하였다(막두께 A: 레지스트 막두께).
본 발명의 실시예 1 내지 실시예 3, 비교예 1에서 조제된 레지스트 상층막 형성 조성물(용액)을, 스피너를 이용하여 레지스트 막 상에 도포하고, 핫플레이트 상에서, 100℃에서 1분간 가열하여, 레지스트 상층막을 형성한 다음, 막두께 측정을 행하였다(막두께 B: 레지스트와 레지스트 상층막의 막두께 합).
상기 레지스트 상층막 상에 시판 중인 현상액(Tokyo Ohka Kogyo Co., Ltd.제, 제품명: NMD-3)을 퍼들(액성:液盛; 액체가 표면 장력에 의해 기판 상에서 쌓여 고이는 것을 뜻함)하여 60초 방치하고, 3,000rpm으로 회전시키면서, 30초간 순수로 린스하였다. 린스 후, 100℃에서 60초간 베이크한 다음, 막두께 측정을 행하였다(막두께 C). 얻어진 결과를 표 1에 나타낸다.
막두께 A가 막두께 C과 동등한 경우, 레지스트와 인터믹싱이 없다고 할 수 있다.
Figure 112013029179556-pct00006
표 1에 나타내는 바와 같이, 실시예 1 내지 실시예 3 및 비교예 1 모두, 막두께 A와 막두께 C의 수치가 동일하고, 이들 EUV 레지스트 상층막 형성 조성물을 이용하여 형성된 막은 레지스트와의 인터믹싱을 일으키지 않는다는 결과가 얻어졌다.
〔광학 파라미터 시험〕
본 발명의 실시예 1 내지 실시예 3에서 조제된 레지스트 상층막 형성 조성물(용액) 및 비교예 1에서 나타낸 레지스트 상층막 형성 조성물(용액)을, 각각 스피너를 이용하여 석영기판 상에 도포하였다. 핫플레이트 상에서, 100℃에서 1분간 가열하여, 레지스트 상층막(막두께 0.03㎛)을 형성하였다. 그리고, 이 4종류의 레지스트 상층막을, 분광 광도계를 이용하여, 파장 190㎚ ~ 240㎚에서의 투과율을 측정하였다. 측정결과를 도 1에 나타낸다.
DUV 광의 차광성에 관해서는, 200㎚ ~ 240㎚의 파장역에서, 투과율의 최대값이 60% 이상을 불량, 60% 미만을 양호하다고 평가하였다. 얻어진 결과를 표 2에 나타낸다.
또한, EUV 광(13.5㎚)의 투과성에 대하여, 파장 13.5㎚에서의 투과율을 원소 조성비와 막 밀도의 관계로부터 시뮬레이션을 통해 계산하였다. 그리고, 파장 13.5㎚에서 80% 이상의 투과율을 양호하다고 평가하고, 80% 미만을 불량이라 평가하였다. 얻어진 결과를 표 2에 나타낸다. 한편, 실시예 1 내지 실시예 3, 비교예 1에서의 13.5㎚의 투과율은 모두 88(%)이었다.
EUV 투과성과 DUV 차광성
막두께(nm) EUV광의 투과성 DUV 광의 차광성
실시예 1 30 양호 양호
실시예 2 30 양호 양호
실시예 3 30 양호 양호
비교예 1 30 양호 불량
표 2에 나타내는 바와 같이, 실시예 1 내지 실시예 3은 EUV 광의 투과성이 양호하면서 DUV 광의 차광성이 우수하다는 결과를 나타낸 한편, 비교예 1은 DUV 광의 차광성이 뒤떨어진다는 결과를 얻었다.
더욱 상세하게는, 도 1에 나타내는 바와 같이, 실시예 1 내지 실시예 3의 레지스트 상층막 형성 조성물을 이용하여 형성된 막은 파장 220㎚ ~ 240㎚의 광의 투과율이 40%를 밑돌고, 특히 실시예 1 및 실시예 2의 레지스트 상층막 형성 조성물을 이용하여 형성된 막에 이르러서는, 파장 200㎚ ~ 240㎚에 걸쳐 광의 투과율이 40%를 밑돌아, DUV 광의 차광성이 특히 양호하다는 결과가 얻어졌다.
(산업상 이용가능성)
EUV 레지스트와 인터믹싱하는 일 없이, EUV 노광시에 바람직하지 않은 노광 광, 예를 들면 UV나 DUV를 차단하여 EUV만을 선택적으로 투과하고, 또한 노광 후에 현상액으로 현상할 수 있는 EUV 리소그래피 프로세스에 이용하는 EUV 레지스트 상층막을 형성하기 위한 조성물이다.

Claims (10)

  1. 주쇄 또는 측쇄에 나프탈렌환을 포함하는 수지 및 용제를 포함하고, 이 수지가 식(1)로 표시되는 단위구조, 또는, 식(1) 및 식(2)로 표시되는 단위구조를 포함하며,
    막두께 0.03㎛인 EUV 레지스트 상층막은 200㎚ ~ 240㎚의 파장역에서 DUV 광의 투과율이 60% 미만이고, EUV 광의 투과율이 80% 이상인 EUV 리소그래피 공정에 이용하는 EUV 레지스트 상층막 형성 조성물:
    Figure 112018089882873-pct00010

    (상기 식 중, R1 및 R3은, 각각 독립적으로, 하이드록시기, 카르복실기, 술포기 또는 이들 기 중 적어도 1개의 기를 포함하는 1가의 유기기를 나타내고, R2 및 R4는, 각각 독립적으로, 탄소원자수 1 ~ 10의 알킬기, 탄소원자수 2 ~ 10의 알케닐기, 벤질기, 페닐기, 할로겐원자, 탄소원자수 1 ~ 10의 알콕시기, 니트로기, 시아노기, 탄소원자수 1 ~ 10의 알킬티오기, 또는 이들의 2종 이상의 조합을 나타낸다. Ar1은 벤젠환 또는 안트라센환을 나타낸다. n1 및 n2는 각각 0 내지 6의 정수를 나타내고, n3 및 n4는 각각 0 내지 벤젠환 또는 안트라센환으로 치환할 수 있는 최대 정수이다. 단, (n1), 또는 (n1+n3)은 적어도 1이다.)
  2. 제1항에 있어서,
    용제가 알코올계 용제인, EUV 레지스트 상층막 형성 조성물.
  3. 제1항에 있어서,
    산 화합물을 추가로 포함하는, EUV 레지스트 상층막 형성 조성물.
  4. 제3항에 있어서,
    산 화합물이 술폰산 화합물 또는 술폰산에스테르 화합물인, EUV 레지스트 상층막 형성 조성물.
  5. 제3항에 있어서,
    산 화합물이 요오드늄염계 산 발생제 또는 술포늄염계 산 발생제인 EUV 레지스트 상층막 형성 조성물.
  6. 기판 상에 EUV 레지스트 막을 형성하는 공정,
    상기 레지스트 막 상에 제1항 내지 제5항 중 어느 한 항에 기재된 EUV 레지스트 상층막 형성 조성물을 도포하고 소성하여 EUV 레지스트 상층막을 형성하는 공정,
    상기 레지스트 상층막과 레지스트 막으로 피복된 반도체 기판을 노광하는 공정, 및
    노광 후에 현상하여 상기 레지스트 상층막과 레지스트 막을 제거하는 공정,
    을 포함하고,
    막두께 0.03㎛인 EUV 레지스트 상층막은 200㎚ ~ 240㎚의 파장역에서 DUV 광의 투과율이 60% 미만이고, EUV 광의 투과율이 80% 이상인 반도체 장치의 제조 방법.
  7. 제6항에 있어서,
    노광이 EUV(파장 13.5㎚) 광에 의해 행해지는, 반도체 장치의 제조 방법.
  8. 삭제
  9. 삭제
  10. 삭제
KR1020137008604A 2010-10-21 2011-09-15 Euv 리소그래피용 레지스트 상층막 형성 조성물 KR101915138B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010236121 2010-10-21
JPJP-P-2010-236121 2010-10-21
PCT/JP2011/071139 WO2012053302A1 (ja) 2010-10-21 2011-09-15 Euvリソグラフィー用レジスト上層膜形成組成物

Publications (2)

Publication Number Publication Date
KR20130129917A KR20130129917A (ko) 2013-11-29
KR101915138B1 true KR101915138B1 (ko) 2018-11-06

Family

ID=45975024

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137008604A KR101915138B1 (ko) 2010-10-21 2011-09-15 Euv 리소그래피용 레지스트 상층막 형성 조성물

Country Status (6)

Country Link
US (1) US11675269B2 (ko)
JP (1) JP6004179B2 (ko)
KR (1) KR101915138B1 (ko)
CN (1) CN103168274B (ko)
TW (1) TWI586716B (ko)
WO (1) WO2012053302A1 (ko)

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012168279A (ja) * 2011-02-10 2012-09-06 Tokyo Ohka Kogyo Co Ltd Euv用レジスト組成物、euv用レジスト組成物の製造方法、およびレジストパターン形成方法
JP6025756B2 (ja) 2011-03-07 2016-11-16 ザ トラスティーズ オブ コロンビア ユニバーシティ イン ザ シティ オブ ニューヨーク 殺菌装置、及び、殺菌装置の作動方法
US20180169279A1 (en) 2011-03-07 2018-06-21 The Trustees Of Columbia University In The City Of New York Apparatus, method and system for selectively affecting and/or killing a virus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6230217B2 (ja) * 2011-09-06 2017-11-15 Jsr株式会社 レジストパターン形成方法
KR101969595B1 (ko) * 2011-09-06 2019-04-16 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 보호막 형성용 조성물
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5846046B2 (ja) * 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
WO2014038483A1 (ja) 2012-09-07 2014-03-13 日産化学工業株式会社 リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5563051B2 (ja) * 2012-12-13 2014-07-30 Azエレクトロニックマテリアルズマニュファクチャリング株式会社 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
CN104937493B (zh) 2013-01-24 2019-11-08 日产化学工业株式会社 光刻用抗蚀剂上层膜形成用组合物和半导体装置制造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2014178542A (ja) * 2013-03-15 2014-09-25 Fujifilm Corp パターン形成方法、組成物キット、及びレジスト膜、並びにこれらを用いた電子デバイスの製造方法、及び電子デバイス
JP6157160B2 (ja) * 2013-03-15 2017-07-05 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
JP6271150B2 (ja) * 2013-04-26 2018-01-31 富士フイルム株式会社 パターン形成方法、組成物キット、及び電子デバイスの製造方法
JP6119983B2 (ja) * 2013-06-28 2017-04-26 日産化学工業株式会社 リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
JP6445760B2 (ja) * 2013-11-22 2018-12-26 三星電子株式会社Samsung Electronics Co.,Ltd. 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
JP6267532B2 (ja) 2014-02-14 2018-01-24 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN106030408B (zh) 2014-02-26 2019-11-05 日产化学工业株式会社 抗蚀剂上层膜形成用组合物及使用该组合物的半导体装置的制造方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102061488B1 (ko) * 2014-05-21 2020-01-03 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 상층막 형성용 조성물 및 이를 사용한 레지스트 패턴 형성 방법
TWI712855B (zh) 2014-07-24 2020-12-11 日商日產化學工業股份有限公司 光阻上層膜形成組成物及使用此之半導體裝置之製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
WO2016136596A1 (ja) * 2015-02-26 2016-09-01 富士フイルム株式会社 上層膜形成用組成物、並びに、それを用いたパターン形成方法及び電子デバイスの製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102018518B1 (ko) 2015-03-31 2019-09-05 후지필름 가부시키가이샤 상층막 형성용 조성물, 패턴 형성 방법, 레지스트 패턴, 및 전자 디바이스의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110862497B (zh) * 2019-07-10 2022-07-29 厦门恒坤新材料科技股份有限公司 含萘侧基聚合物及其制备方法和硬掩膜组合物及图案形成方法
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008065304A (ja) * 2006-08-11 2008-03-21 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法
JP2008216530A (ja) 2007-03-02 2008-09-18 Nissan Chem Ind Ltd 多核フェノールを含むレジスト下層膜形成組成物

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503689B2 (en) * 2000-09-19 2003-01-07 Shipley Company, L.L.C. Antireflective composition
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
JP4563076B2 (ja) * 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP4895049B2 (ja) * 2005-06-10 2012-03-14 日産化学工業株式会社 ナフタレン樹脂誘導体を含有するリソグラフィー用塗布型下層膜形成組成物
US20070231713A1 (en) * 2006-03-31 2007-10-04 Bristol Robert L Anti-reflective coating for out-of-band illumination with lithography optical systems
JP5163899B2 (ja) * 2006-06-15 2013-03-13 日産化学工業株式会社 環構造を持つ高分子化合物を含有するポジ型感光性樹脂組成物
JP5136417B2 (ja) 2006-08-04 2013-02-06 Jsr株式会社 パターン形成方法、上層膜形成用組成物、及び下層膜形成用組成物
JP4716027B2 (ja) * 2006-08-11 2011-07-06 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP2008198788A (ja) 2007-02-13 2008-08-28 Toshiba Corp レジストパターン形成方法
JP4910829B2 (ja) * 2007-03-28 2012-04-04 Jsr株式会社 上層反射防止膜形成用組成物及びレジストパターン形成方法
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP4993139B2 (ja) * 2007-09-28 2012-08-08 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
JP2009164441A (ja) 2008-01-09 2009-07-23 Panasonic Corp パターン形成方法
JP5520489B2 (ja) 2009-01-07 2014-06-11 富士フイルム株式会社 リソグラフィ用基板被覆方法、及び該方法に用いられる感活性光線または感放射線性樹脂組成物

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008065304A (ja) * 2006-08-11 2008-03-21 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法
JP2008216530A (ja) 2007-03-02 2008-09-18 Nissan Chem Ind Ltd 多核フェノールを含むレジスト下層膜形成組成物

Also Published As

Publication number Publication date
US11675269B2 (en) 2023-06-13
TWI586716B (zh) 2017-06-11
JPWO2012053302A1 (ja) 2014-02-24
CN103168274A (zh) 2013-06-19
KR20130129917A (ko) 2013-11-29
TW201224010A (en) 2012-06-16
CN103168274B (zh) 2016-07-06
US20130209940A1 (en) 2013-08-15
WO2012053302A1 (ja) 2012-04-26
JP6004179B2 (ja) 2016-10-05

Similar Documents

Publication Publication Date Title
KR101915138B1 (ko) Euv 리소그래피용 레지스트 상층막 형성 조성물
TWI639645B (zh) 使用雙酚醛的含酚醛清漆樹脂之阻劑底層膜形成組成物
TWI713462B (zh) 含有經芳香族羥甲基化合物反應之酚醛樹脂的阻劑下層膜形成組成物
KR102367638B1 (ko) 방향족 비닐화합물이 부가된 노볼락수지를 포함하는 레지스트 하층막 형성 조성물
KR102195151B1 (ko) 리소그래피용 레지스트 상층막 형성 조성물 및 이를 이용한 반도체 장치의 제조방법
KR102206511B1 (ko) 수산기를 갖는 아릴설폰산염 함유 레지스트 하층막 형성 조성물
KR20220007588A (ko) 지환식 화합물 말단의 중합체를 포함하는 레지스트 하층막형성 조성물
KR20140089351A (ko) 리소그래피용 레지스트 상층막 형성 조성물
JPWO2018030198A1 (ja) 光硬化性組成物及び半導体装置の製造方法
WO2014017331A1 (ja) リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US20230333474A1 (en) Resist underlayer film-forming composition comprising fluoroalkyl group-containing organic acid or salt thereof

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant