TWI586716B - Euv微影用抗蝕上層膜形成組成物 - Google Patents

Euv微影用抗蝕上層膜形成組成物 Download PDF

Info

Publication number
TWI586716B
TWI586716B TW100135915A TW100135915A TWI586716B TW I586716 B TWI586716 B TW I586716B TW 100135915 A TW100135915 A TW 100135915A TW 100135915 A TW100135915 A TW 100135915A TW I586716 B TWI586716 B TW I586716B
Authority
TW
Taiwan
Prior art keywords
group
euv
formula
methyl
resist
Prior art date
Application number
TW100135915A
Other languages
English (en)
Other versions
TW201224010A (en
Inventor
坂本力丸
何邦慶
遠藤貴文
Original Assignee
日產化學工業股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日產化學工業股份有限公司 filed Critical 日產化學工業股份有限公司
Publication of TW201224010A publication Critical patent/TW201224010A/zh
Application granted granted Critical
Publication of TWI586716B publication Critical patent/TWI586716B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • C08G8/10Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ with phenol
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • C08G8/24Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ with mixtures of two or more phenols which are not covered by only one of the groups C08G8/10 - C08G8/20
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L61/00Compositions of condensation polymers of aldehydes or ketones; Compositions of derivatives of such polymers
    • C08L61/04Condensation polymers of aldehydes or ketones with phenols only
    • C08L61/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/04Condensation polymers of aldehydes or ketones with phenols only
    • C09D161/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/22Oxygen
    • C08F12/24Phenols or alcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • C08F212/24Phenols or alcohols

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Toxicology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Phenolic Resins Or Amino Resins (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Emergency Medicine (AREA)

Description

EUV微影用抗蝕上層膜形成組成物
本發明為關於一種EUV微影用抗蝕(resist)上層膜組成物,其係在使用EUV微影之裝置製作步驟中,降低因所使用的EUV而受到的不良影響,並有效地得到良好的抗蝕圖型,以及使用該EUV微影用抗蝕上層膜組成物之半導體之製造方法。
以往以來,在半導體裝置之製造中,正進行著使用光微影技術之細微加工。前述細微加工為在矽晶圓等之被加工基板上形成光阻組成物之薄膜,並於其上方介隔著已描繪有半導體裝置圖型之遮罩圖型,進行紫外線等之活性光線之照射、顯影,將所得到的光阻圖型作為保護膜,來將矽晶圓等之被加工基板進行蝕刻處理之加工法。近年,半導體裝置之高積體度化之進展,所使用的活性光線亦由KrF準分子雷射(248nm)短波長化至ArF準分子雷射(193nm)。伴隨於此,自基板之活性光線之亂反射或駐波之影響成為大問題,作為擔任防止在光阻與被加工基板間之反射之角色的抗蝕下層膜,已廣泛地採用設置抗反射膜(Bottom Anti-Reflective Coating、BARC)之方法。
作為如此般之抗反射膜,已知有鈦、二氧化鈦、氮化鈦、氧化鉻、碳、α-矽等之無機抗反射膜、或由吸光性物質與高分子化合物所成的有機抗反射膜。前者於膜形成時必須要有真空蒸鍍裝置、CVD裝置、濺鍍裝置等之設備,相較於此,後者就不需要特別設備,此點為有利的,正被多數地檢討著。
近年,作為擔任繼使用ArF準分子雷射(193nm)光微影技術之後之新一代的光微影技術,介隔著水進行曝光之ArF液浸微影技術正被盛大地檢討著。但,使用光之光微影技術已漸漸達到界限,在作為ArF液浸微影技術以後之新微影技術,使用EUV(波長13.5nm、極紫外線)光之EUV微影技術被受矚目。
在使用EUV微影之裝置製作步驟時,係對於被覆有EUV抗蝕之基板照射EUV光進行曝光、顯影而形成抗蝕圖型。此時,為了保護EUV抗蝕之來自於污染物質、或遮斷不佳之放射線之例如UV光或DUV(深紫外)光,已揭示有在EUV抗蝕之上層含有一種聚合物之方法,其中,該聚合物係含有包含由鈹、硼、碳、矽、鋯、鈮及鉬所成之群所選出之一種以上之基團(專利文獻1、專利文獻2)。
[先前技術文獻] [專利文獻]
[專利文獻1]特開2004-348133號公報
[專利文獻2]特開2008-198788號公報
本發明為提供一種使用於EUV微影製程之EUV抗蝕上層膜形成組成物,其係作為EUV抗蝕之上層膜,不會與EUV抗蝕互混,於EUV曝光之際,將不佳的曝光光源之例如UV光或DUV光遮斷,選擇性地僅使EUV光透過,又,曝光後在顯影液為可顯影者。
作為本發明之第1觀點,為有關於一種使用於EUV微影步驟之EUV抗蝕上層膜形成組成物,其係包含在主鏈或側鏈為含有萘環之樹脂及溶劑。
作為第2觀點,如第1觀點之EUV抗蝕上層膜形成組成物,其中,樹脂係含有作為親水性基之羥基、羧基、磺基、或含有此等基之中之至少一個基之一價有機基。
作為第3觀點,如第1觀點或第2觀點之EUV抗蝕上層膜形成組成物,其中,樹脂係含有式(1)所示之單位構造、或式(1)及式(2)所示之單位構造,
(上述式中,R1及R3分別獨立示為羥基、羧基、磺基或含有此等基之中之至少一個基之一價有機基,R2及R4分別獨立示為碳原子數1~10之烷基、碳原子數2~10之烯基、苄基、苯基、鹵素原子、碳原子數1~10之烷氧基、硝基、氰基、碳原子數1~10之烷硫基、或此等之2種以上之組合,Ar1示為苯環或蒽環,n1及n2分別示為0至6之整數,n3及n4分別為0至可取代苯環或蒽環之最大之整數,惟,(n1)或(n1+n3)至少為1)。
作為第4觀點,如第1觀點或第2觀點之EUV抗蝕上層膜形成組成物,其中,樹脂係含有式(3)所示之單位構造、或式(3)及式(4)所示之單位構造、或式(3)及式(5)所示之單位構造、或式(3)、式(4)及式(5)所示之單位構造、或式(3)、式(5)及式(6)所示之單位構造、或式(3)、式(4)、式(5)及式(6)所示之單位構造,
(式中,T示為單鍵或醚基、酯基、羰基、醯胺基、或含有此等基之中之至少一個基之二價有機基,R7、R10、R12及R13分別獨立示為氫原子或甲基,R5、R8及R11分別獨立示為羥基、羧基、磺基或含有此等基之中之至少一個基之一價有機基,R6及R9分別獨立示為碳原子數1~10之烷基、碳原子數2~10之烯基、苄基、苯基、鹵素原子、碳原子數1~10之烷氧基、硝基、氰基、碳原子數1~10之烷硫基、或此等之2種以上之組合,n5及n6分別示為0至7之整數,n8及n9分別示為0至5之整數,惟,(n5)、(n5+n8)、(n5+n11)、或(n5+n8+n11)至少為1)。
作為第5觀點,如第1觀點至第4觀點中任一項之EUV抗蝕上層膜形成組成物,其中,溶劑係醇系溶劑。
作為第6觀點,如如第1觀點至第5觀點中任一項之EUV抗蝕上層膜形成組成物,其中進而含有酸化合物。
作為第7觀點,如第6觀點之EUV抗蝕上層膜形成組成物,其中,酸化合物係磺酸化合物或磺酸酯化合物。
作為第8觀點,如第6觀點之EUV抗蝕上層膜形成組成物,其中,酸化合物係碘鎓鹽系酸產生劑或鋶鹽系酸產生劑。
作為第9觀點,為一種半導體裝置之製造方法,其係含有以下之步驟:於基板上形成EUV抗蝕膜之步驟、將如如第1觀點至第8觀點中任一項之EUV抗蝕上層膜形成組成物塗佈、鍛燒於該抗蝕膜上,形成EUV抗蝕上層膜之步驟、將以該抗蝕上層膜與抗蝕膜所被覆之半導體基板進行曝光之步驟、曝光後進行顯影,將該抗蝕上層膜與抗蝕膜除去之步驟。
作為第10觀點,如第9觀點之半導體裝置之製造方法,其中,曝光係藉由EUV(波長13.5nm)光來進行。
藉由本發明,可提供一種可形成EUV抗蝕上層膜之EUV抗蝕上層膜形成組成物,其係作為EUV抗蝕之上層膜,不會與EUV抗蝕互混,於EUV曝光之際,將不佳的曝光光源之例如UV光或DUV光等帶外輻射(out-of-BAND radiation)遮斷,選擇性地僅使EUV光透過,又,曝光後在顯影液為可顯影者。
特別是本發明之EUV抗蝕上層膜形成組成物,可提供一可吸收在包含於EUV曝光光源之帶外輻射中,所最不希望的200~240nm之DUV光之抗蝕上層膜,藉此,可進行EUV抗蝕之解析性提昇。
又,本發明之EUV抗蝕上層膜形成組成物,在將該組成物適用於半導體裝置之製造之際,與所形成於下層之EUV抗蝕不會產生互混,又,於EUV曝光後,藉由顯影液可與EUV抗蝕同時地除去。
[實施發明的最佳型態]
本發明係以適合使用於EUV微影步驟之EUV抗蝕上層膜之組成物作為對象。
如前述般地,在使用EUV微影之裝置製作步驟時,係將EUV光照射於被覆有EUV抗蝕之基板,使其曝光。在此,於EUV抗蝕曝光之際,EUV光可能含有5%左右除了EUV光以外之300nm以下波長之光(即,UV光或DUV光)。其中,例如190~300nm、190~250nm,特別又以200~240nm附近之波長光,與EUV抗蝕之感度降低或圖型形狀之劣化有關。特別是當線寬變成22nm以下時,開始出現此UV光或DUV光等之帶外輻射(out-of-BAND radiation)之影響,並對於EUV抗蝕之解析性造成不良影響。為了將如此般200~240nm附近之不希望之波長光予以除去,亦有將濾波器設置於微影系統之方法,但具有步驟上變得複雜之課題。
另一方面,將EUV抗蝕上層膜被覆於EUV抗蝕之上層之際,為了防止EUV抗蝕膜與EUV抗蝕上層膜之互混(層之混合),作為EUV抗蝕上層膜形成時所使用的溶劑,要避開EUV抗蝕之溶劑,有例如使用醇系溶劑者,亦對於EUV抗蝕上層膜材料要求高的醇系溶劑之溶解性。
有鑑於此事情,本發明團隊在作為使用於EUV抗蝕上層膜之聚合物,係選擇將200~240nm附近之DUV光選擇性地並高效率地吸收之含有萘環之聚合物,又,在該聚合物中,為了提高對於醇溶劑之溶解性,藉由設定為含有由羥基、羧基、磺基或含有此等之基之有機基所成的親水性基,遂而完成抑制帶外輻射之影響,且對於醇系溶劑具有高溶解性之EUV抗蝕上層膜形成組成物。
且藉由本發明之組成物所形成的EUV抗蝕上層膜,由於其材料之聚合物中具有由羥基、羧基、磺基或含有此等之基之有機基所構成之親水性基,由於可溶解於顯影液(例如,鹼性顯影液)中,故於曝光後之顯影時,能與EUV抗蝕同時地藉由顯影液而溶解除去。
以下,詳細說明本發明。
本發明為一種使用於EUV微影步驟之EUV抗蝕上層膜形成組成物,其係包含在主鏈或側鏈為含有萘環之樹脂。
上述EUV抗蝕上層膜形成組成物,係包含有含萘環樹脂及溶劑,更可含有交聯劑、交聯觸媒、界面活性劑。
本發明之EUV抗蝕上層膜形成組成物之固形分為0.1~50質量%,較佳為0.5~30質量%。所謂的固形分,係自EUV抗蝕上層膜形成組成物將溶劑成分去除者。
在EUV抗蝕上層膜形成組成物中,上述樹脂之含有量為在固形分中之20質量%以上,例如20~100質量%、或30~100質量%、或50~90質量%、或60~80質量%。
上述樹脂,作為親水性基可含有羥基、羧基、磺基、或含有此等基之中之至少一個基之一價有機基。
可將上述樹脂設定為含有前述式(1)所示之構造單位之樹脂,或含有前述式(1)所示之構造單位及前述式(2)所示之單位構造之雙方之樹脂。
在前述式(1)、式(2)中,R1及R3分別獨立示為羥基、羧基、磺基、或含有此等基之中之至少一個基之一價有機基,R2及R4分別獨立示為碳原子數1~10之烷基、碳原子數2~10之烯基、苄基、苯基、鹵素原子、碳原子數1~10之烷氧基、硝基、氰基、碳原子數1~10之烷硫基、或此等之2種以上之組合。
Ar1示為苯環或蒽環。
n1及n2分別示為0至6之整數,n3及n4分別示為0至可取代苯環或蒽環之最大之整數(苯環:4、蒽環:8)。惟,(n1)或(n1+n3)至少為1。所謂的至少為1,例如,可設定為1、2、3、或4。
尚,當n1、n2、n3、n4示為2以上之整數時,R1、R2、R3、R4可分別為相同或相異之基。
或,可將上述樹脂設定為含有前述式(3)所示之構造單位之樹脂、含有式(3)所示之構造單位及式(4)所示之構造單位之二種構造單位之樹脂、含有式(3)所示之構造單位及式(5)所示之構造單位之二種構造單位之樹脂、含有式(3)所示之構造單位、式(4)所示之構造單位及式(5)所示之構造單位之三種構造單位之樹脂、含有式(3)所示之構造單位、式(5)所示之構造單位及式(6)所示之構造單位之三種構造單位之樹脂、或含有式(3)所示之構造單位、式(4)所示之構造單位、式(5)所示之構造單位及式(6)所示之構造單位之四種單位構造之樹脂。
上述式(3)至式(6)中、R7、R10、R12及R13分別獨立示為氫原子或甲基,R5、R8及R11分別獨立示為羥基、羧基、磺基或含有此等基之中之至少一個基之一價有機基,R6及R9分別獨立示為碳原子數1~10之烷基、碳原子數2~10之烯基、苄基、苯基、鹵素原子、碳原子數1~10之烷氧基、硝基、氰基、碳原子數1~10之烷硫基、或此等之2種以上之組合。
n5及n6分別示為0至7之整數,n8及n9分別示為0至5之整數,惟,(n5)、(n5+n8)、(n5+n11)、或(n5+n8+n11)至少示為1。所謂的至少為1,例如,可設定為1、2、3、或4。
尚,當n5、n6、n7、n8示為2以上之整數時,R5、R6、R7、R8可分別為相同或相異之基。
T示為單鍵或醚基(-O-)、酯基(-(CO)O-)、羰基(-(CO)-)、醯胺基(-(CO)-(NH)-),或示為含有此等基之中之至少一個基之二價有機基。
T中所謂的二價有機基,在由下述所示例之碳原子數1~10之烷基、碳原子數2~10之烯基、苯基等所衍生之二價有機基中,係含有上述醚基、酯基、羰基、醯胺基之中之至少一個基之二價有機基。
R1及R3中所謂的一價有機基,在下述所示例之碳原子數1~10之烷基、碳原子數2~10之烯基、苯基等中,係含有羥基、羧基、磺基、或含有此等基之中之至少一個基之一價有機基者。
R5、R8及R11中所謂的一價有機基,在下述所示例之碳原子數1~10之烷基、碳原子數2~10之烯基、苯基中,係含有羥基、羧基、磺基、或含有此等基之中之至少一個基之一價有機基者。
作為上述碳原子數1~10之烷基,舉例如甲基、乙基、n-丙基、i-丙基、環丙基、n-丁基、i-丁基、s-丁基、t-丁基、環丁基、1-甲基-環丙基、2-甲基-環丙基、n-戊基、1-甲基-n-丁基、2-甲基-n-丁基、3-甲基-n-丁基、1,1-二甲基-n-丙基、1,2-二甲基-n-丙基、2,2-二甲基-n-丙基、1-乙基-n-丙基、環戊基、1-甲基-環丁基、2-甲基-環丁基、3-甲基-環丁基、1,2-二甲基-環丙基、2,3-二甲基-環丙基、1-乙基-環丙基、2-乙基-環丙基、n-己基、1-甲基-n-戊基、2-甲基-n-戊基、3-甲基-n-戊基、4-甲基-n-戊基、1,1-二甲基-n-丁基、1,2-二甲基-n-丁基、1,3-二甲基-n-丁基、2,2-二甲基-n-丁基、2,3-二甲基-n-丁基、3,3-二甲基-n-丁基、1-乙基-n-丁基、2-乙基-n-丁基、1,1,2-三甲基-n-丙基、1,2,2-三甲基-n-丙基、1-乙基-1-甲基-n-丙基、1-乙基-2-甲基-n-丙基、環己基、1-甲基-環戊基、2-甲基-環戊基、3-甲基-環戊基、1-乙基-環丁基、2-乙基-環丁基、3-乙基-環丁基、1,2-二甲基-環丁基、1,3-二甲基-環丁基、2,2-二甲基-環丁基、2,3-二甲基-環丁基、2,4-二甲基-環丁基、3,3-二甲基-環丁基、1-n-丙基-環丙基、2-n-丙基-環丙基、1-i-丙基-環丙基、2-i-丙基-環丙基、1,2,2-三甲基-環丙基、1,2,3-三甲基-環丙基、2,2,3-三甲基-環丙基、1-乙基-2-甲基-環丙基、2-乙基-1-甲基-環丙基、2-乙基-2-甲基-環丙基及2-乙基-3-甲基-環丙基等。
作為上述碳原子數2~10之烯基,舉例如乙烯基、1-丙烯基、2-丙烯基、1-甲基-1-乙烯基、1-丁烯基、2-丁烯基、3-丁烯基、2-甲基-1-丙烯基、2-甲基-2-丙烯基、1-乙基乙烯基、1-甲基-1-丙烯基、1-甲基-2-丙烯基、1-戊烯基、2-戊烯基、3-戊烯基、4-戊烯基、1-n-丙基乙烯基、1-甲基-1-丁烯基、1-甲基-2-丁烯基、1-甲基-3-丁烯基、2-乙基-2-丙烯基、2-甲基-1-丁烯基、2-甲基-2-丁烯基、2-甲基-3-丁烯基、3-甲基-1-丁烯基、3-甲基-2-丁烯基、3-甲基-3-丁烯基、1,1-二甲基-2-丙烯基、1-i-丙基乙烯基、1,2-二甲基-1-丙烯基、1,2-二甲基-2-丙烯基、1-環戊烯基、2-環戊烯基、3-環戊烯基、1-己烯基、2-己烯基、3-己烯基、4-己烯基、5-己烯基、1-甲基-1-戊烯基、1-甲基-2-戊烯基、1-甲基-3-戊烯基、1-甲基-4-戊烯基、1-n-丁基乙烯基、2-甲基-1-戊烯基、2-甲基-2-戊烯基、2-甲基-3-戊烯基、2-甲基-4-戊烯基、2-n-丙基-2-丙烯基、3-甲基-1-戊烯基、3-甲基-2-戊烯基、3-甲基-3-戊烯基、3-甲基-4-戊烯基、3-乙基-3-丁烯基、4-甲基-1-戊烯基、4-甲基-2-戊烯基、4-甲基-3-戊烯基、4-甲基-4-戊烯基、1,1-二甲基-2-丁烯基、1,1-二甲基-3-丁烯基、1,2-二甲基-1-丁烯基、1,2-二甲基-2-丁烯基、1,2-二甲基-3-丁烯基、1-甲基-2-乙基-2-丙烯基、1-s-丁基乙烯基、1,3-二甲基-1-丁烯基、1,3-二甲基-2-丁烯基、1,3-二甲基-3-丁烯基、1-i-丁基乙烯基、2,2-二甲基-3-丁烯基、2,3-二甲基-1-丁烯基、2,3-二甲基-2-丁烯基、2,3-二甲基-3-丁烯基、2-i-丙基-2-丙烯基、3,3-二甲基-1-丁烯基、1-乙基-1-丁烯基、1-乙基-2-丁烯基、1-乙基-3-丁烯基、1-n-丙基-1-丙烯基、1-n-丙基-2-丙烯基、2-乙基-1-丁烯基、2-乙基-2-丁烯基、2-乙基-3-丁烯基、1,1,2-三甲基-2-丙烯基、1-t-丁基乙烯基、1-甲基-1-乙基-2-丙烯基、1-乙基-2-甲基-1-丙烯基、1-乙基-2-甲基-2-丙烯基、1-i-丙基-1-丙烯基、1-i-丙基-2-丙烯基、1-甲基-2-環戊烯基、1-甲基-3-環戊烯基、2-甲基-1-環戊烯基、2-甲基-2-環戊烯基、2-甲基-3-環戊烯基、2-甲基-4-環戊烯基、2-甲基-5-環戊烯基、2-亞甲基-環戊基、3-甲基-1-環戊烯基、3-甲基-2-環戊烯基、3-甲基-3-環戊烯基、3-甲基-4-環戊烯基、3-甲基-5-環戊烯基、3-亞甲基-環戊基、1-環己烯基、2-環己烯基及3-環己烯基等。
作為上述碳原子數1~10之烷氧基,舉例如甲氧基、乙氧基、n-丙氧基、i-丙氧基、n-丁氧基、i-丁氧基、s-丁氧基、t-丁氧基、n-戊氧基、1-甲基-n-丁氧基、2-甲基-n-丁氧基、3-甲基-n-丁氧基、1,1-二甲基-n-丙氧基、1,2-二甲基-n-丙氧基、2,2-二甲基-n-丙氧基、1-乙基-n-丙氧基、n-己氧基、1-甲基-n-戊氧基、2-甲基-n-戊氧基、3-甲基-n-戊氧基、4-甲基-n-戊氧基、1,1-二甲基-n-丁氧基、1,2-二甲基-n-丁氧基、1,3-二甲基-n-丁氧基、2,2-二甲基-n-丁氧基、2,3-二甲基-n-丁氧基、3,3-二甲基-n-丁氧基、1-乙基-n-丁氧基、2-乙基-n-丁氧基、1,1,2-三甲基-n-丙氧基、1,2,2-三甲基-n-丙氧基、1-乙基-1-甲基-n-丙氧基、及1-乙基-2-甲基-n-丙氧基等。
作為上述碳原子數1~10之烷硫基,可舉例如乙硫基、丁硫基、己硫基、辛硫基等。
作為上述鹵素原子,可舉例如氟原子、氯原子、溴原子及碘原子。
使用於本發明之EUV抗蝕上層膜形成組成物之上述樹脂之重量平均分子量為500~1,000,000,較佳為700~500,000、更佳為1,000~300,000、又更佳為1,000~100,000。
上述樹脂,可使用例如含有以下所示例之式(7-1)~式(7-5)之單位構造之樹脂(在主鏈為含有萘環之樹脂)、或含有式(8-1)~式(8-7)之單位構造之樹脂(在側鏈為含有萘環之樹脂)。
作為本發明之EUV抗蝕上層膜形成組成物中所含有的上述溶劑,較佳可使用醇系溶劑。作為此等醇系溶劑,可舉例如1-丁醇、2-丁醇、異丁醇、tert-丁醇、1-戊醇、2-戊醇、3-戊醇、tert-戊醇、新戊醇、2-甲基-1-丁醇、3-甲基-1-丁醇、3-甲基-3-戊醇、環戊醇、1-己醇、2-己醇、3-己醇、2,3-二甲基-2-丁醇、3,3-二甲基-1-丁醇、3,3-二甲基-2-丁醇、2-二乙基-1-丁醇、2-甲基-1-戊醇、2-甲基-2-戊醇、2-甲基-3-戊醇、3-甲基-1-戊醇、3-甲基-2-戊醇、3-甲基-3-戊醇、4-甲基-1-戊醇、4-甲基-2-戊醇、4-甲基-3-戊醇及環己醇。可將此等醇系溶劑以單獨或作為混合物使用。
又,可與上述醇系溶劑同時併用以下之其他溶劑。該溶劑可使用乙二醇單甲基醚、乙二醇單乙基醚、乙酸甲賽路蘇、乙酸乙賽路蘇、二乙二醇單甲基醚、二乙二醇單乙基醚、丙二醇、丙二醇單甲基醚、丙二醇單甲基醚乙酸酯、丙二醇丙基醚乙酸酯、甲苯、二甲苯、甲基乙基酮、環戊酮、環己酮、2-羥基丙酸乙酯、2-羥基-2-甲基丙酸乙酯、乙氧基乙酸乙酯、羥基乙酸乙酯、2-羥基-3-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸乙酯、3-乙氧基丙酸甲酯、丙酮酸甲酯、丙酮酸乙酯、乙酸乙酯、乙酸丁酯、乳酸乙酯、乳酸丁酯等。此等有機溶劑可單獨或使組合2種以上使用。
此等其他溶劑,相對於前述醇系溶劑,可含有0.01~10.00質量%之比例。
本發明之EUV抗蝕上層膜形成組成物,在微影步驟為了與存在於下層之抗蝕之酸度一致,可進而含有酸化合物。
作為酸化合物,宜可使用例如磺酸化合物或磺酸酯化合物。
作為前述磺酸或磺酸酯化合物,可舉例如p-甲苯磺酸、三氟甲烷磺酸、吡啶鎓p-甲苯磺酸、磺柳酸等之酸性化合物、及/或、苯偶姻甲苯磺酸酯、2-硝基苄基甲苯磺酸酯等之熱酸產生劑,又,亦可使用水楊酸、檸檬酸、安息香酸、羥基安息香酸、2,4,4,6-四溴環己二烯酮等。
又,本發明之EUV抗蝕上層膜形成組成物,在微影步驟為了與存在於下層之抗蝕之酸度一致,作為酸化合物,可添加藉由照射EUV而產生酸之酸產生劑。
作為較佳之酸產生劑,可舉例如雙(4-tert-丁基苯基)碘鎓三氟甲烷磺酸鹽、三苯基鋶三氟甲烷磺酸鹽等之鎓鹽系酸產生劑類(例如碘鎓鹽系酸產生劑、鋶鹽系酸產生劑)、苯基-雙(三氯甲基)-s-三吖等之含鹵素之化合物系酸產生劑類、苯偶姻甲苯磺酸酯、N-羥基琥珀醯亞胺三氟甲烷磺酸鹽等之磺酸系酸產生劑類等。
此等酸化合物之摻合量,在本發明之EUV抗蝕上層膜形成組成物之全固形分每100質量%中,為0.02~10質量%,較佳為0.04~5質量%。
本發明之EUV抗蝕上層膜形成組成物中,除了上述以外,視所需可進而添加流動調整劑、黏著補助劑、界面活性劑等。
流動調整劑,主要為用來提昇抗蝕上層膜形成組成物之流動性之目的而被添加。作為具體例,舉例如鄰苯二甲酸二甲酯、鄰苯二甲酸二乙酯、鄰苯二甲酸二異丁酯、鄰苯二甲酸二己酯、鄰苯二甲酸丁基異葵酯等之鄰苯二甲酸衍生物、己二酸二正丁酯、己二酸二異丁酯、己二酸二異辛酯、己二酸辛基癸酯等之已二酸衍生物、馬來酸二正丁酯、馬來酸二乙酯、馬來酸二壬酯等之馬來酸衍生物、油酸甲基酯、油酸丁酯、油酸四氫糠酯等之油酸衍生物、或硬脂酸正丁酯、硬脂酸甘油酯等之硬脂酸衍生物。
此等流動調整劑,相對於EUV抗蝕上層膜形成組成物之全組成物100質量%,通常以未滿30質量%之比例摻合。
本發明之EUV抗蝕上層膜形成組成物中,為了不產生針孔或條紋(striation)等,並使對於表面不均勻之塗佈性進一步之提昇,可摻合界面活性劑。作為界面活性劑,可舉例如聚氧乙烯月桂醚、聚氧乙烯硬脂醚、聚氧乙烯十六醚、聚氧乙烯基油醚等之聚氧乙烯烷基醚類、聚氧乙烯辛基酚醚、聚氧乙烯壬基酚醚等之聚氯乙烯烷基烯丙基醚類、聚氧乙烯‧聚氧丙烯嵌段共聚物類、去水山梨醇單月桂酸酯、去水山梨醇單十六酸酯、去水山梨醇單硬脂酸酯、去水山梨醇單油酸酯、去水山梨醇三油酸酯、去水山梨醇三硬脂酸酯等之去水山梨醇脂肪酸酯類、聚氧乙烯去水山梨醇單月桂酸酯、聚氧乙烯去水山梨醇單十六酸酯、聚氧乙烯去水山梨醇單硬脂酸酯、聚氧乙烯去水山梨醇三油酸酯、聚氧乙烯去水山梨醇三硬脂酸酯等之聚氧乙烯去水山梨醇脂肪酸酯類等之非離子系界面活性劑;F-Top EF301、EF303、EF352((股)Tokem Products(現:三菱材料電子化成(股))製、MEGAFACE F171、F173(DIC(股)製)、Fluorad FC430、FC431(住友3M(股)製)、AashiGuard AG710、Surflon S-382、SC101、SC102、SC103、SC104、SC105、SC106(旭硝子(股)製)等之氟系界面活性劑;有機矽氧聚合物KP341(信越化學工業(股)製)等。
此等界面活性劑之摻合量,在本發明之抗蝕上層膜形成組成物之全組成物每100質量%中,通常為0.2質量%以下,較佳為0.1質量%以下。此等界面活性劑可單獨進行添加,又亦可以2種以上之組合進行添加。
作為塗佈於本發明之EUV抗蝕上層膜之下層之EUV抗蝕,負型、正型皆可使用。有由具有藉由酸產生劑及酸進行分解而使鹼溶解速度變化之基之黏合劑所成的化學增幅型抗蝕、由藉由鹼可溶性黏合劑、酸產生劑及酸進行分解而使抗蝕之鹼溶解速度變化之低分子化合物所成的化學增幅型抗蝕、由具有藉由酸產生劑及酸進行分解而使鹼溶解速度變化之基之黏合劑與,藉由酸進行分解而使抗蝕之鹼溶解速度變化之低分子化合物所成的化學增幅型抗蝕、由具有藉由EUV光進行分解而使鹼溶解速度變化之基之黏合劑所成的非化學增幅型抗蝕、由具有藉由EUV光之切斷而使鹼溶解速度變化之部位之黏合劑所成的非化學增幅型抗蝕等。
具有使用本發明之EUV抗蝕上層膜形成組成物所形成的抗蝕上層膜之正型抗蝕,作為顯影液,可使用氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水等之無機鹼類、乙胺、n-丙胺等之第一胺類、二乙胺、二-n-丁胺等之第二胺類、三乙胺、甲基二乙胺等之第三胺類、二甲基乙醇胺、三乙醇胺等之醇胺類、氫氧化四甲銨、氫氧化四乙銨、膽鹼等之第四級銨鹽、吡咯、哌啶等之環狀胺類等之鹼類水溶液。更,作為顯影液,亦可適當添加異丙醇等之醇類、非離子系等之界面活性劑於上述鹼類水溶液中使用。此等之中較佳的顯影液為第四級銨鹽,更佳為氫氧化四甲銨及膽鹼。
在本發明,於具有形成轉印圖型之加工對象膜之基板上,可藉由以下之步驟製造半導體裝置:以使用或未使用EUV抗蝕下層膜來形成EUV抗蝕膜之步驟、將EUV抗蝕上層膜形成組成物塗佈、鍛燒於該抗蝕膜上,形成EUV抗蝕上層膜之步驟、將以該抗蝕上層膜與抗蝕膜所被覆之半導體基板進行曝光之步驟、曝光後進行顯影,將該抗蝕上層膜與抗蝕膜除去之步驟;含有如此步驟之半導體裝置之製造方法亦為本發明之對象。
尚,上述曝光為藉由EUV(波長13.5nm)光來進行。
適用於本發明之EUV抗蝕上層膜形成組成物之半導體裝置,於其製造步驟中,在基板上可採取依序形成轉印圖型之加工對象膜、抗蝕膜、抗蝕上層膜之構成。藉由本發明之EUV抗蝕上層膜形成組成物所形成的抗蝕上層膜,可減低因基質基板或EUV而受到的不良影響,於EUV光曝光後可形成良好直線形狀之抗蝕圖型,並可得到相對於EUV照射量為充分之裕度。又,藉由本發明之EUV抗蝕上層膜形成組成物所形成的抗蝕上層膜,可具有與形成於其下層之抗蝕膜為同等之大的濕式蝕刻速度,因此,藉由濕式蝕刻步驟可容易地將抗蝕圖型轉印至加工對象之基質膜(轉印圖型之加工對象膜)上。
[實施例] 實施例1
使1g萘酚酚醛樹酯(以1-萘酚:酚=50:50之莫耳比使與甲醛產生反應來合成酚醛樹酯。此樹脂相當於含有前述式(7-2)之構造單位之樹脂。重量平均分子量為3,200)溶解於4-甲基-2-戊醇99g中,得到EUV抗蝕上層膜形成組成物(溶液)。
實施例2
使1g萘酚酚醛樹酯(以1-萘酚:酚=70:30之莫耳比與甲醛產生反應來合成酚醛樹酯。此樹脂相當於含有前述式(7-2)之構造單位之樹脂。重量平均分子量為2,800)溶解於4-甲基-2-戊醇99g中,得到EUV抗蝕上層膜形成組成物(溶液)。
實施例3
使1g含乙烯基萘之樹脂(以2-乙烯基萘:羥基苯乙烯:甲基丙烯酸=50:20:30之質量比進行自由基聚合。此樹脂相當於含有前述式(8-4)之構造單位之樹脂。重量平均分子量為5,800)溶解於4-甲基-2-戊醇99g中,得到EUV抗蝕上層膜形成組成物(溶液)。
比較例1
使1g聚羥基苯乙烯樹脂(市售品。重量平均分子量為8,000)溶解於4-甲基-2-戊醇99g中,得到EUV抗蝕上層膜形成組成物(溶液)。
[與抗蝕之互混試驗]
使用旋轉佈EUV抗蝕溶液(甲基丙烯基系抗蝕)。藉由在加熱板上,以100℃藉由進行1分鐘加熱來形成抗蝕膜,並進行膜厚測定(膜厚A:抗蝕膜厚)。
使用旋轉器將本發明之實施例1至實施例3、比較例1所調製的抗蝕上層膜形成組成物(溶液)塗佈於抗蝕膜上,在加熱板上,以100℃加熱1分鐘,形成抗蝕上層膜,並進行膜厚測定(膜厚B:抗蝕與抗蝕上層膜之膜厚之和)。
將市售的顯影液(東京應化工業(股)製、製品名:NMD-3)滴落於該抗蝕上層膜上放置60秒,並以3,000rpm使一邊回轉,一邊以純水進行30秒鐘的淋洗。淋洗後,以100℃進行60秒鐘烘烤,並進行膜厚測定(膜厚C)。所得到結果如表1所示。
當膜厚A與膜厚C相等時,可稱為未與抗蝕互混。
如表1所示般,在實施例1至實施例3、及比較例1,膜厚A與膜厚C之數值皆為相等,得到使用此等EUV抗蝕上層膜形成組成物所形成之膜,為未與抗蝕產生互混者之結果。
[光學參數試驗]
將本發明之實施例1至實施例3所調製的抗蝕上層膜形成組成物(溶液)、及比較例1所示的抗蝕上層膜形成組成物(溶液),分別使用旋轉器塗佈於石英基板上。在加熱板上,以100℃加熱1分鐘,形成抗蝕上層膜(膜厚0.03μm)。接著,將此等4種之抗蝕上層膜使用分光光度計,測定在波長190nm~240nm之透過率。測定結果如圖1所示。
關於DUV光之遮光性,在200nm~240nm之波長域中,將透過率之最大值為60%以上評價為不良,未滿60%者評價為良好。所得到的結果如表2所示。
又,關於EUV光(13.5nm)之透過性,將在波長13.5nm之透過率,由元素組成比與膜密度之關係藉由模擬所計算。接著,將在波長13.5nm為80%以上之透過率者評價為良好,未滿80%者評價為不良。所得到的結果如表2所示。尚,實施例1至實施例3、比較例1之13.5nm之透過率皆為88(%)。
如表2所示般,實施例1至實施例3在EUV光之透過性為良好,且對於DUV光之遮光性為優異之結果,另一方面,比較例1在DUV光之遮光性得到差之結果。
更詳細地如圖1所示,使用實施例1至實施例3之抗蝕上層膜形成組成物所形成之膜,波長220nm~240nm之光之透過率為低於40%,特別是在使用實施例1及實施例2之抗蝕上層膜形成組成物所形成之膜,在橫跨波長200nm~240nm之光之透過率為低於40%,得到作為DUV光之遮光性為特優之結果。
[產業利用性]
本發明為提供一種使用於EUV微影製程之EUV抗蝕上層膜形成組成物,其不會與EUV抗蝕互混,於EUV曝光之際,將不佳的曝光光源之例如UV或DUV遮斷,選擇性地僅使EUV透過,又,曝光後在顯影液為可顯影者。
[圖1]圖1為表示,將使用實施例1~實施例3及比較例1所得到的抗蝕上層膜形成組成物(溶液)所形成的抗蝕上層膜,分別使用分光光度計,所測定在波長200nm~240nm之透過率之曲線圖。

Claims (8)

  1. 一種使用於EUV微影步驟之具有帶外輻射之遮斷性能之EUV抗蝕上層膜形成組成物,其係包含在主鏈或側鏈為含有萘環之樹脂及溶劑,該樹脂係含有式(1)所示之單位構造、或式(1)及式(2)所示之單位構造, (上述式中,R1及R3分別獨立示為羥基、羧基、磺基或含有此等基之中之至少一個基之一價有機基,R2及R4分別獨立示為碳原子數1~10之烷基、碳原子數2~10之烯基、苄基、苯基、鹵素原子、碳原子數1~10之烷氧基、硝基、氰基、碳原子數1~10之烷硫基、或此等之2種以上之組合,Ar1示為苯環或蒽環,n1及n2分別示為0至6之整數(惟在主鏈單獨含有式(1)所示之單位構造時,前述n1不為0),n3及n4分別為0至可取代苯環或蒽環之最大之整數,惟,(n1)或(n1+n3)至少為1)。
  2. 如申請專利範圍第1項之EUV抗蝕上層膜形成組成物,其中,樹脂係含有式(3)所示之單位構造、或式 (3)及式(4)所示之單位構造、或式(3)及式(5)所示之單位構造、或式(3)、式(4)及式(5)所示之單位構造、或式(3)、式(5)及式(6)所示之單位構造、或式(3)、式(4)、式(5)及式(6)所示之單位構造, (式中,T示為單鍵或醚基、酯基、羰基、醯胺基、或含有此等基之中之至少一個基之二價有機基,R7、R10、R12及R13分別獨立示為氫原子或甲基,R5、R8及R11分別獨立示為羥基、羧基、磺基或含有此等基之中之至少一個基之一價有機基,R6及R9分別獨立示為碳原子數1~10之烷基、碳原子數2~10之烯基、苄基、苯基、鹵素原子、碳原子數1~10之烷氧基、硝基、氰基、碳原子數1~10之烷硫基、或此等之2種以上之組合,n5及n6分別示為0至7之整數,n8及n9分別示為0至5之整數,惟,(n5)、(n5+ n8)、(n5+n11)、或(n5+n8+n11)至少為1)。
  3. 如申請專利範圍第1或2項之EUV抗蝕上層膜形成組成物,其中,溶劑係醇系溶劑。
  4. 如申請專利範圍第1或2項之EUV抗蝕上層膜形成組成物,其中進而含有酸性化合物及/或酸產生劑。
  5. 如申請專利範圍第4項之EUV抗蝕上層膜形成組成物,其中,酸性化合物係磺酸化合物或磺酸酯化合物。
  6. 如申請專利範圍第4項之EUV抗蝕上層膜形成組成物,其中,酸產生劑係碘鎓鹽系酸產生劑或鋶鹽系酸產生劑。
  7. 一種半導體裝置之製造方法,其係含有以下之步驟:於基板上形成EUV抗蝕膜之步驟、將如申請專利範圍第1至6項中任一項之EUV抗蝕上層膜形成組成物塗佈、鍛燒於該抗蝕膜上,形成EUV抗蝕上層膜之步驟、將以該抗蝕上層膜與抗蝕膜所被覆之半導體基板進行曝光之步驟、曝光後進行顯影,將該抗蝕上層膜與抗蝕膜除去之步驟。
  8. 如申請專利範圍第7項之半導體裝置之製造方法,其中,曝光係藉由EUV(波長13.5nm)光來進行。
TW100135915A 2010-10-21 2011-10-04 Euv微影用抗蝕上層膜形成組成物 TWI586716B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010236121 2010-10-21

Publications (2)

Publication Number Publication Date
TW201224010A TW201224010A (en) 2012-06-16
TWI586716B true TWI586716B (zh) 2017-06-11

Family

ID=45975024

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100135915A TWI586716B (zh) 2010-10-21 2011-10-04 Euv微影用抗蝕上層膜形成組成物

Country Status (6)

Country Link
US (1) US11675269B2 (zh)
JP (1) JP6004179B2 (zh)
KR (1) KR101915138B1 (zh)
CN (1) CN103168274B (zh)
TW (1) TWI586716B (zh)
WO (1) WO2012053302A1 (zh)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012168279A (ja) * 2011-02-10 2012-09-06 Tokyo Ohka Kogyo Co Ltd Euv用レジスト組成物、euv用レジスト組成物の製造方法、およびレジストパターン形成方法
US20180169279A1 (en) 2011-03-07 2018-06-21 The Trustees Of Columbia University In The City Of New York Apparatus, method and system for selectively affecting and/or killing a virus
JP6025756B2 (ja) 2011-03-07 2016-11-16 ザ トラスティーズ オブ コロンビア ユニバーシティ イン ザ シティ オブ ニューヨーク 殺菌装置、及び、殺菌装置の作動方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6230217B2 (ja) * 2011-09-06 2017-11-15 Jsr株式会社 レジストパターン形成方法
KR101969595B1 (ko) * 2011-09-06 2019-04-16 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 보호막 형성용 조성물
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5846046B2 (ja) * 2011-12-06 2016-01-20 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR102195151B1 (ko) 2012-09-07 2020-12-24 닛산 가가쿠 가부시키가이샤 리소그래피용 레지스트 상층막 형성 조성물 및 이를 이용한 반도체 장치의 제조방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5563051B2 (ja) 2012-12-13 2014-07-30 Azエレクトロニックマテリアルズマニュファクチャリング株式会社 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
KR102200511B1 (ko) 2013-01-24 2021-01-11 닛산 가가쿠 가부시키가이샤 리소그래피용 레지스트 상층막 형성 조성물 및 이것을 이용한 반도체장치의 제조방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014178542A (ja) * 2013-03-15 2014-09-25 Fujifilm Corp パターン形成方法、組成物キット、及びレジスト膜、並びにこれらを用いた電子デバイスの製造方法、及び電子デバイス
JP6157160B2 (ja) 2013-03-15 2017-07-05 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
JP6271150B2 (ja) * 2013-04-26 2018-01-31 富士フイルム株式会社 パターン形成方法、組成物キット、及び電子デバイスの製造方法
JP6119983B2 (ja) * 2013-06-28 2017-04-26 日産化学工業株式会社 リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6445760B2 (ja) * 2013-11-22 2018-12-26 三星電子株式会社Samsung Electronics Co.,Ltd. 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
JP6267532B2 (ja) 2014-02-14 2018-01-24 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9977331B2 (en) 2014-02-26 2018-05-22 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition and method for producing semiconductor device including the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102061488B1 (ko) * 2014-05-21 2020-01-03 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. 상층막 형성용 조성물 및 이를 사용한 레지스트 패턴 형성 방법
WO2016013598A1 (ja) * 2014-07-24 2016-01-28 日産化学工業株式会社 レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6527937B2 (ja) * 2015-02-26 2019-06-12 富士フイルム株式会社 上層膜形成用組成物及びその製造方法、並びに、それを用いたパターン形成方法及び電子デバイスの製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6522739B2 (ja) 2015-03-31 2019-05-29 富士フイルム株式会社 上層膜形成用組成物、パターン形成方法、レジストパターン、及び、電子デバイスの製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110862497B (zh) * 2019-07-10 2022-07-29 厦门恒坤新材料科技股份有限公司 含萘侧基聚合物及其制备方法和硬掩膜组合物及图案形成方法
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102668700B1 (ko) * 2021-04-20 2024-05-23 엠에이치디 주식회사 반도체 장치의 미세 패턴 형성방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008065304A (ja) * 2006-08-11 2008-03-21 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法
TW200817840A (en) * 2006-06-15 2008-04-16 Nissan Chemical Ind Ltd Positive type photosensitive resin composition containing polymer having ring structure

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1319197B1 (en) * 2000-09-19 2007-06-06 Shipley Company LLC Antireflective composition
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
JP4563076B2 (ja) * 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
US7816067B2 (en) * 2005-06-10 2010-10-19 Nissan Chemical Industries, Ltd. Coating-type underlayer coating forming composition for lithography containing naphthalene resin derivative
US20070231713A1 (en) * 2006-03-31 2007-10-04 Bristol Robert L Anti-reflective coating for out-of-band illumination with lithography optical systems
KR101429309B1 (ko) 2006-08-04 2014-08-11 제이에스알 가부시끼가이샤 패턴 형성 방법, 상층막 형성용 조성물, 및 하층막 형성용 조성물
JP4716027B2 (ja) * 2006-08-11 2011-07-06 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP2008198788A (ja) 2007-02-13 2008-08-28 Toshiba Corp レジストパターン形成方法
JP5067537B2 (ja) 2007-03-02 2012-11-07 日産化学工業株式会社 多核フェノールを含むレジスト下層膜形成組成物
JP4910829B2 (ja) * 2007-03-28 2012-04-04 Jsr株式会社 上層反射防止膜形成用組成物及びレジストパターン形成方法
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP4993139B2 (ja) * 2007-09-28 2012-08-08 信越化学工業株式会社 反射防止膜形成材料、反射防止膜及びこれを用いたパターン形成方法
JP2009164441A (ja) 2008-01-09 2009-07-23 Panasonic Corp パターン形成方法
JP5520489B2 (ja) * 2009-01-07 2014-06-11 富士フイルム株式会社 リソグラフィ用基板被覆方法、及び該方法に用いられる感活性光線または感放射線性樹脂組成物

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200817840A (en) * 2006-06-15 2008-04-16 Nissan Chemical Ind Ltd Positive type photosensitive resin composition containing polymer having ring structure
JP2008065304A (ja) * 2006-08-11 2008-03-21 Shin Etsu Chem Co Ltd レジスト保護膜材料及びパターン形成方法

Also Published As

Publication number Publication date
CN103168274A (zh) 2013-06-19
KR101915138B1 (ko) 2018-11-06
JPWO2012053302A1 (ja) 2014-02-24
US11675269B2 (en) 2023-06-13
JP6004179B2 (ja) 2016-10-05
CN103168274B (zh) 2016-07-06
WO2012053302A1 (ja) 2012-04-26
US20130209940A1 (en) 2013-08-15
TW201224010A (en) 2012-06-16
KR20130129917A (ko) 2013-11-29

Similar Documents

Publication Publication Date Title
TWI586716B (zh) Euv微影用抗蝕上層膜形成組成物
TWI639645B (zh) 使用雙酚醛的含酚醛清漆樹脂之阻劑底層膜形成組成物
TWI713462B (zh) 含有經芳香族羥甲基化合物反應之酚醛樹脂的阻劑下層膜形成組成物
KR102367638B1 (ko) 방향족 비닐화합물이 부가된 노볼락수지를 포함하는 레지스트 하층막 형성 조성물
US9395628B2 (en) Resist underlayer film-forming composition containing aryl sulfonate salt having hydroxyl group
CN111226175A (zh) 使用包含具有铵基的有机基的含硅抗蚀剂下层膜形成用组合物的半导体装置的制造方法
US11531269B2 (en) Method for producing resist pattern coating composition with use of solvent replacement method
CN111902774A (zh) 包含硝酸和被保护了的苯酚基的含硅抗蚀剂下层膜形成用组合物
WO2022138454A1 (ja) レジスト下層膜形成組成物
TW202246372A (zh) 包含含有經芳基封閉之側鏈之聚合物之阻劑下層膜形成組成物
WO2022025090A1 (ja) ヒダントイン化合物の反応生成物を含むレジスト下層膜形成組成物
WO2014017331A1 (ja) リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
CN114341232B (zh) 含硅聚合物组合物的制造方法
WO2022065374A1 (ja) フルオロアルキル基を有する有機酸またはその塩を含むレジスト下層膜形成組成物
WO2022202644A1 (ja) 保護された塩基性の有機基を有するレジスト下層膜形成組成物