JPS58137536A - 静電チヤツク板 - Google Patents

静電チヤツク板

Info

Publication number
JPS58137536A
JPS58137536A JP57015875A JP1587582A JPS58137536A JP S58137536 A JPS58137536 A JP S58137536A JP 57015875 A JP57015875 A JP 57015875A JP 1587582 A JP1587582 A JP 1587582A JP S58137536 A JPS58137536 A JP S58137536A
Authority
JP
Japan
Prior art keywords
layer
electrode
dielectric layer
chuck plate
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP57015875A
Other languages
English (en)
Other versions
JPS6059104B2 (ja
Inventor
Toru Tojo
東条 徹
Ichiro Mori
一朗 森
Shunichi Sano
俊一 佐野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Tokyo Shibaura Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Tokyo Shibaura Electric Co Ltd filed Critical Toshiba Corp
Priority to JP57015875A priority Critical patent/JPS6059104B2/ja
Priority to US06/428,341 priority patent/US4480284A/en
Priority to FR8216981A priority patent/FR2520930A1/fr
Priority to DD82243929A priority patent/DD211675A5/de
Publication of JPS58137536A publication Critical patent/JPS58137536A/ja
Publication of JPS6059104B2 publication Critical patent/JPS6059104B2/ja
Expired legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Jigs For Machine Tools (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔発明の技術分野〕 本尭嘴は、導電材料や半導体材料等からなる試料を加工
或いは検査するにあたって、これらの試料を電気的に固
定保持する靜鑞デャツク板に漬する。
〔!i@の技術的背景とその間電点〕
半導体ウェーハを加工或いは検査する1楊においては、
ウェーハを加工1や検査機の所定−位に固定保持するこ
とが必要となる。特に、ウェーハ上にamなパターンを
−一し多数のトランジスタを形成する集積回路の製作に
おいては、ウェーハを平坦な面に確実に固定することが
必要である。
従来、このような場合の保持手段として機械式、真空式
(流体の圧力差を利用したもの)および電気式のデャツ
クが帛いられている。これらのデャツクの中で電気式の
ものは、試料の平態度を良くして固定することができ、
かつ取扱いが簡単であるため半導体製造分野において特
に有用である。
電気式デャツク、すなわち電気的に試料を保持する静電
チャック板は、2つの互いに反対に誘電されたコンデン
サ板の吸引力を利用するもので、電極、−電層および導
電性(半導体も含む)の試料から構成される。このよう
なチャック板における試料の吸着力Fは電極と試料との
間の誘電層に大きく影譬され、一般に次式で示される。
ただし、1゜は真空−電率、6.は比誘電率、Sは面積
、Vは電圧、tは誘電層の厚さである。
上記第1式から判るように誘′1層の厚さtが薄い楊、
さらにSt層の比誘電率C1が大赤い程低鴫圧で使用す
ることが可能である。また、−゛電層には試料が繰り返
し固定されるため、耐摩耗性および耐圧性が要求される
0 公知の英国籍奸第144321号では、静電チャック板
としてマイカ、ポリエステル或いはブタン酸バリウムで
作られた#S電層が示されているが、これらの材料はt
riに付置させるために接着剤を使用しなければならず
、このため電極の滑らかさが接着剤によって大きく失わ
れると云う欠点がある。
また、公知の日本特許、特開昭55− 145351号公報では、a電層材料が電極材料の酸化
物、特に陽極酸化物で構成されていることが特徴となり
(いるが、この場合#lll1lE11の辱さをaoo
(Xl以トとすると試料の−りムし固定、製作時および
使用時の取り扱いによって簡単に傷が入ってしまい、#
s誘電層絶縁不要を招(。酸化膜−電層を厚くすること
によってこれらのことを防止できるとしても、酸化過程
で表面層の粗さは悪化し、結果的に試料との真実接触面
積が橋端に減少する。すなわち、藺紀第1式で示した面
積6が非常に小さくなり、吸着力が低下し、時には加工
や検査に必要な保持力を置去じないこともある。さらに
、ポーラスな部分の一電車がほば1となり、誘゛鑞層全
体の比誘電率も減少し、吸着力が目標より大幅に低下す
る等の問題があった。
〔発明の目的〕
本発明の目的は、電極材料と誘電層材料とを轡に一致さ
せることなく高い比誘゛電率を持つ誘電材料を自由に選
択することができ、吸着力、機械的強度および耐摩耗性
の向上をはかり得る静電チャック板を提供することにあ
る。
〔発明の概要〕
本発明の骨子は、誘電層を誘電材料の溶射によって形成
したことにある。−型材料としてアルミナ(ムJ、O1
)、酸化チタン(Tto*)およびブタン鹸バリウム(
8aTIOm )等の無機材料或いは仁れらの材料を混
合したものを使用することによって、十分に高い比誘電
率を持ったIII電層を形成することができる。さらに
、数種の誘電材料を温合して溶射することにより、融点
を下げ生産性および加工性の嵐い#電層の形成が可能で
ある。また、溶射は物理的に固定されているが、その固
定力は十分に強く、表面の平坦度を増すために行う研削
やラッピング等の機械加工に対しても十分耐え得る固定
力を持っている。
本発明はこのような点に着目し、電極の一生面を絶縁性
−電層で被覆してなり咳−電層上に半導体ウェーハ等の
試料を電気的に保持する静電チャック板において、−型
材料を上記電極の一生面に溶射して上記絶縁性鋳′鉱層
を形成したものである。
〔発明の効果〕
本発明によれば、電極材料の酸化で誘電層を形成する場
合と異なり、111I&材料を自由に選択することが可
能であり、誘電層の比誘電率を十分に大きくすることが
できる。また、接着剤を使用する必要もなく兼期の使用
でも劣化することがない。さらに、溶射材料の選択によ
り誘電層の機械的強度および耐摩耗性を十分大きくする
ことができる。
〔発明の実mガ〕
1g1図は本発明の一実施例に係わる靜4デャツク板の
概略構成を示す断面図である。図中1は板状の電極であ
り、この電極lの上面に@鴫材料としてのアルミナを溶
射して誘−越r@xが形成されている。この−′電層2
には、エポキシ樹脂や弗素樹脂等の合成若しくは半合成
された高分子材料を主成分とするプラスナック4が含浸
されている。そして、プラスデック4を含浸された#電
層2の表面は研摩、ランピングおよびパフ等により平坦
化加工されている。
このように構成された靜′域チャンク板は、−電層2の
上面に牛導体ウェーハ等の試料4を載置され、この試料
4と4億1との間に磁界を印加することによって、試料
4を誘電層2上に固定保持することができる。そしてこ
の場合、誘電層2をアルミナの溶J)14こよって形成
しているので、誘電層2の比誘′磁率を自由に選択する
ことができ、#4層2の機械的強度および耐摩耗性の向
上をは力)り得る。
また、本実施例のように#dL層2に前記プラスナック
3を含浸させることによって、久のような効果が得られ
る。すなわち、溶射によって形成された一′一層2は完
全に気泡をなくすことができず、多孔買層となる。この
ため、前述したよう暑こ吸着力が低下するが、上記/ラ
スチック3の含浸により多孔質部分を膳め比11111
14を臘すことができる。通常、チャック板の平m度お
よび表面粗さを良くするために研削およびラッピング等
により加工を行うか、多孔質の場合表面粗さはある機度
しか良くならない。これに対し、プラスデック3を含浸
させた場合、藺紀第を図に示す如く非常に良い表面粗さ
を得ることができる。さらに、チャンク板を真空中で使
用する場合、多孔貞内の空気やガスをなかjノか放出で
きず真空度が上がらないことがあるが、プラスデック3
の含浸により(この場合、数ミクロンの空洞に流入する
よう帆プラステンク3に適当な粘度、流動性を持たせる
。含浸の一合は一1ノー2の全体でも表面層のみでも嵐
い。)、カス放出を少なく4−ることができる。
また、本発明看等の実験によれば、実施例チャック板お
よび従来のチャック板の各吸着力を比較したところ第2
図に示す結果が得ら扛た。
なお、第2図中横軸は電界、縦軸は吸着力を示している
。また、O印は実施例チャック板としてアルミナ溶射m
t層を用いたもの、Δ印は従来のアルミ陽li#L化鋳
vL層を用いた−の、0印は従来のエポキシ樹yliI
#電層を用いたものの測定データを示している。この図
からも明らかなように、本実施例で61−′電層2の比
誘電率を大きくでき、吸着力を大きくすることができる
なお、本発明は上述した実施例に限定される4のではな
い。例えば、前記溶射する鋳型材料としては、アルミナ
に限らず酸化チタン、チタン酸バリウム或いはセラミッ
クスの中で溶躬町総なものを適当に選んで使用してもよ
い。さらに、1紀プラスチックはエポキシ樹脂や弗素樹
脂等の高分子材料に限る−のではなく、その他のプラス
チック類を檎々目的に合わせて使用することができる。
また、′wL惚と試料との間の電圧印加方法は、仕様−
こ応じて適宜変史するこ゛とができる。さらに、印加電
圧は一定鴫圧に限るものではなく、適当な周波数で変化
する電圧であってもよい。七の他、本発明の要旨を逸脱
しない範囲で、種々&形して実施することができる。
【図面の簡単な説明】
IA1図は本発明の一夷11mn4こ係わる一屯テヤツ
ク板の概略構成を示す断面図、第2図(l上記実施例の
作用を説明するためのもので実施ガおよび従来例におけ
る吸着力、の測定結果をボ(特性図である。 1・・・1憔、2・・・−鴫1−13・・・プラスナッ
ク、4・・・試料。

Claims (1)

  1. 【特許請求の範囲】 (1)電極の一生面を絶縁性−電層で砿覆してなり腋絶
    縁性−電層上に試料を電気的に保持する静電チャック板
    において、上記絶縁性−電層は導電材料を上記電極の一
    生面に溶射して形成されたものであることを特徴とする
    静電チャック板。 +21  鎗紀鱒燻材料として、アルミナ、酸化ブタン
    、ブタン鹸バリウム若しくはセラミックス、或いはこれ
    らの複合材料を用いたことを特徴とする特許請求のas
    st項記載の静電デャツタ橡◎ 迦 −紀絶鰍性St層は、その内部に高分子材料を主原
    料としたプラスブラタが含浸されたものであることを特
    徴とする特許請求の範囲第1項記載の静電チャック板。 (4) 鋳妃高分子材料として、エポキシ樹脂或いは弗
    素樹脂を用いたことを特徴とする特許請求の範囲第3項
    記載の静電チャック板。
JP57015875A 1982-02-03 1982-02-03 静電チヤツク板 Expired JPS6059104B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP57015875A JPS6059104B2 (ja) 1982-02-03 1982-02-03 静電チヤツク板
US06/428,341 US4480284A (en) 1982-02-03 1982-09-29 Electrostatic chuck plate
FR8216981A FR2520930A1 (fr) 1982-02-03 1982-10-11 Plateau de montage destine a maintenir electrostatiquement des echantillons
DD82243929A DD211675A5 (de) 1982-02-03 1982-10-12 Elektrostatische haftplatte

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP57015875A JPS6059104B2 (ja) 1982-02-03 1982-02-03 静電チヤツク板

Publications (2)

Publication Number Publication Date
JPS58137536A true JPS58137536A (ja) 1983-08-16
JPS6059104B2 JPS6059104B2 (ja) 1985-12-23

Family

ID=11900958

Family Applications (1)

Application Number Title Priority Date Filing Date
JP57015875A Expired JPS6059104B2 (ja) 1982-02-03 1982-02-03 静電チヤツク板

Country Status (4)

Country Link
US (1) US4480284A (ja)
JP (1) JPS6059104B2 (ja)
DD (1) DD211675A5 (ja)
FR (1) FR2520930A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6395644A (ja) * 1986-10-13 1988-04-26 Nippon Telegr & Teleph Corp <Ntt> 静電チヤツク
JPH0311750A (ja) * 1989-06-09 1991-01-21 Nippon Telegr & Teleph Corp <Ntt> 試料吸着ホルダ
JPH03163849A (ja) * 1990-11-07 1991-07-15 Toshiba Mach Co Ltd 静電チャック

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2154365A (en) * 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
EP0138254B1 (en) * 1983-09-30 1988-06-01 Philips Electronics Uk Limited Electrostatic chuck and loading method
GB2147459A (en) * 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4733632A (en) * 1985-09-25 1988-03-29 Tokyo Electron Limited Wafer feeding apparatus
US4724510A (en) * 1986-12-12 1988-02-09 Tegal Corporation Electrostatic wafer clamp
US4864461A (en) * 1987-04-14 1989-09-05 Kabushiki Kaisha Abisare Machine unit having retaining device using static electricity
WO1988009054A1 (en) * 1987-05-06 1988-11-17 Labtam Limited Electrostatic chuck using ac field excitation
DE68909665T2 (de) * 1988-04-26 1994-02-10 Toto Ltd Verfahren zur Herstellung dielektrischer Keramik für elektrostatische Haltevorrichtungen.
CH677400A5 (ja) * 1988-06-07 1991-05-15 Max Zellweger
JP2665242B2 (ja) * 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US5001594A (en) * 1989-09-06 1991-03-19 Mcnc Electrostatic handling device
US5073716A (en) * 1990-05-10 1991-12-17 At&T Bell Laboratories Apparatus comprising an electrostatic wafer cassette
US4999507A (en) * 1990-05-10 1991-03-12 At&T Bell Laboratories Apparatus comprising an electrostatic wafer cassette
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
DE69104128T2 (de) * 1990-06-08 1995-01-19 Varian Associates Spannen eines Werkstücks.
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
JPH06737A (ja) * 1991-03-29 1994-01-11 Shin Etsu Chem Co Ltd 静電チャック基板
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
EP0541400B1 (en) * 1991-11-07 1998-03-18 Varian Associates, Inc. Anti-stick electrostatic chuck for a low pressure environment
JP2865472B2 (ja) * 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5508086A (en) * 1992-07-29 1996-04-16 Abisare, Co., Ltd. Electrostatic notice board system
US5600530A (en) * 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
JP3330945B2 (ja) * 1992-11-06 2002-10-07 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ウエハ静電締着装置
JPH06151084A (ja) * 1992-11-11 1994-05-31 Asahi Glass Co Ltd 帯電除去用セラミックスおよびその製造用組成物
JPH06225556A (ja) * 1992-12-03 1994-08-12 Abisare:Kk 静電吸着装置
US5384681A (en) * 1993-03-01 1995-01-24 Toto Ltd. Electrostatic chuck
JPH06326175A (ja) * 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
US6864570B2 (en) * 1993-12-17 2005-03-08 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US6278600B1 (en) 1994-01-31 2001-08-21 Applied Materials, Inc. Electrostatic chuck with improved temperature control and puncture resistance
US5801915A (en) * 1994-01-31 1998-09-01 Applied Materials, Inc. Electrostatic chuck having a unidirectionally conducting coupler layer
US5729423A (en) * 1994-01-31 1998-03-17 Applied Materials, Inc. Puncture resistant electrostatic chuck
TW288253B (ja) * 1994-02-03 1996-10-11 Aneruba Kk
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
KR960043037A (ko) * 1995-05-29 1996-12-21 김광호 접촉식 서머척 및 그 제조방법
JP2971369B2 (ja) * 1995-08-31 1999-11-02 トーカロ株式会社 静電チャック部材およびその製造方法
US5781400A (en) 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6370007B2 (en) 1995-09-20 2002-04-09 Hitachi, Ltd. Electrostatic chuck
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5708250A (en) * 1996-03-29 1998-01-13 Lam Resarch Corporation Voltage controller for electrostatic chuck of vacuum plasma processors
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US5825607A (en) * 1996-05-08 1998-10-20 Applied Materials, Inc. Insulated wafer spacing mask for a substrate support chuck and method of fabricating same
TW303505B (en) * 1996-05-08 1997-04-21 Applied Materials Inc Substrate support chuck having a contaminant containment layer and method of fabricating same
US5737175A (en) * 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
US6175485B1 (en) * 1996-07-19 2001-01-16 Applied Materials, Inc. Electrostatic chuck and method for fabricating the same
US6117246A (en) * 1997-01-31 2000-09-12 Applied Materials, Inc. Conductive polymer pad for supporting a workpiece upon a workpiece support surface of an electrostatic chuck
US5737178A (en) * 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
US6529362B2 (en) 1997-03-06 2003-03-04 Applied Materials Inc. Monocrystalline ceramic electrostatic chuck
WO1998047176A1 (en) * 1997-04-11 1998-10-22 The Morgan Crucible Company Plc Composite ceramic dielectrics
US5841624A (en) * 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
JPH11157953A (ja) * 1997-12-02 1999-06-15 Nhk Spring Co Ltd セラミックスと金属との構造体及びそれを用いた静電チャック装置
EP0948042A1 (de) * 1998-03-06 1999-10-06 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Elektrostatische Vorrichtung zum Halten von Wafern und anderen Bauteilen
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US5905626A (en) * 1998-04-12 1999-05-18 Dorsey Gage, Inc. Electrostatic chuck with ceramic pole protection
US6641939B1 (en) 1998-07-01 2003-11-04 The Morgan Crucible Company Plc Transition metal oxide doped alumina and methods of making and using
US6259592B1 (en) 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
US6115232A (en) * 1998-12-03 2000-09-05 Lsi Logic Corporation Method for forming an ion implanted electrostatic chuck
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
JP2001209981A (ja) * 1999-02-09 2001-08-03 Ricoh Co Ltd 光ディスク基板成膜装置、光ディスク基板成膜方法、基板ホルダーの製造方法、基板ホルダー、光ディスクおよび相変化記録型光ディスク
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
WO2001011431A2 (en) * 1999-08-06 2001-02-15 Applied Materials, Inc. Method and apparatus of holding semiconductor wafers for lithography and other wafer processes
US6839217B1 (en) 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6538873B1 (en) 1999-11-02 2003-03-25 Varian Semiconductor Equipment Associates, Inc. Active electrostatic seal and electrostatic vacuum pump
US6362946B1 (en) 1999-11-02 2002-03-26 Varian Semiconductor Equipment Associates, Inc. Electrostatic wafer clamp having electrostatic seal for retaining gas
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
JP3599634B2 (ja) * 2000-04-10 2004-12-08 信越化学工業株式会社 イオン注入機用静電チャック
US6686598B1 (en) 2000-09-01 2004-02-03 Varian Semiconductor Equipment Associates, Inc. Wafer clamping apparatus and method
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
TW541586B (en) * 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
KR100427459B1 (ko) * 2001-09-05 2004-04-30 주성엔지니어링(주) 아크 방지용 정전척
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US20040066601A1 (en) * 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
JP4186644B2 (ja) * 2003-02-17 2008-11-26 株式会社Ihi 真空処理装置の冷却装置
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
TWI242255B (en) * 2004-07-21 2005-10-21 Touch Micro System Tech Wafer carrier
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
DE202005011367U1 (de) 2005-07-18 2005-09-29 Retzlaff, Udo, Dr. Transfer-ESC auf Wafer-Basis
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
US20080138504A1 (en) * 2006-12-08 2008-06-12 Coorstek, Inc. Coatings for components of semiconductor wafer fabrication equipment
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
WO2009085991A2 (en) * 2007-12-20 2009-07-09 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming it
US20110024049A1 (en) * 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
JP5609663B2 (ja) * 2011-01-18 2014-10-22 旭硝子株式会社 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
EP2490073B1 (en) * 2011-02-18 2015-09-23 ASML Netherlands BV Substrate holder, lithographic apparatus, and method of manufacturing a substrate holder
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5957540B2 (ja) 2012-02-03 2016-07-27 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9741591B2 (en) * 2012-12-31 2017-08-22 Flir Systems, Inc. Wafer level packaging of microbolometer vacuum package assemblies
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9718215B2 (en) 2015-04-15 2017-08-01 Halo Industries, Inc. Capacitive clamping process for cleaving work pieces using crack propagation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
RU173366U1 (ru) * 2016-08-30 2017-08-23 Общество с ограниченной ответственностью "БЕСТ" Электростатическая информационно-демонстрационная доска
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031272B2 (en) * 2018-11-06 2021-06-08 Mikro Mesa Technology Co., Ltd. Micro device electrostatic chuck with diffusion blocking layer
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN113748500A (zh) * 2019-06-28 2021-12-03 日本碍子株式会社 静电卡盘
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3197682A (en) * 1961-04-13 1965-07-27 Pure Oil Co Safet electro-responsive-fluid chuck
US3253200A (en) * 1961-08-30 1966-05-24 Union Oil Co Electro-viscous fluid chuck
US3330252A (en) * 1964-09-10 1967-07-11 Sperry Rand Corp Masking device
GB1443215A (en) * 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
IL56224A (en) * 1978-01-16 1982-08-31 Veeco Instr Inc Substrate clamp for use in semiconductor fabrication
DD143131A1 (de) * 1979-04-26 1980-07-30 Ute Bergner Vorrichtung zum elektrostatischen halten von werkstuecken,insbesondere halbleiterscheiben

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6395644A (ja) * 1986-10-13 1988-04-26 Nippon Telegr & Teleph Corp <Ntt> 静電チヤツク
JPH0311750A (ja) * 1989-06-09 1991-01-21 Nippon Telegr & Teleph Corp <Ntt> 試料吸着ホルダ
JPH03163849A (ja) * 1990-11-07 1991-07-15 Toshiba Mach Co Ltd 静電チャック

Also Published As

Publication number Publication date
FR2520930A1 (fr) 1983-08-05
FR2520930B1 (ja) 1984-05-25
US4480284A (en) 1984-10-30
DD211675A5 (de) 1984-07-18
JPS6059104B2 (ja) 1985-12-23

Similar Documents

Publication Publication Date Title
JPS58137536A (ja) 静電チヤツク板
US4031268A (en) Process for spraying metallic patterns on a substrate
US9828690B2 (en) Component of substrate processing apparatus and method for forming a film thereon
KR20190106768A (ko) 플라즈마 환경들 내의 챔버 컴포넌트들을 위한 Y2O3-ZrO2 부식 저항성 재료
JP2001203258A (ja) 静電チャック部材およびその製造方法
EP1589567B1 (en) Member for plasma etching device and method for manufacture thereof
US6122159A (en) Electrostatic holding apparatus
JPH0434953A (ja) 静電チャック板
JPS6395644A (ja) 静電チヤツク
JP3613472B2 (ja) プラズマエッチング装置用部材及びその製造方法
JPS59152636A (ja) 静電チャック装置の製造方法
JP4181069B2 (ja) プラズマ処理装置
CN113555308A (zh) 陶瓷基板及其制造方法、静电卡盘、基板固定装置以及半导体器件封装
KR100979684B1 (ko) 개선된 정전 웨이퍼 클램핑 장치용 플래튼
KR20100090559A (ko) 에어로졸 코팅층을 갖는 정전척 및 그 제조방법
JPS62286247A (ja) 静電チヤツク板及びその製造方法
JPS58190037A (ja) 静電チヤツク装置およびその製造方法
KR20020064507A (ko) 정전 척과 그의 제조방법
JP2600558Y2 (ja) 静電チャック
JPH03183151A (ja) 静電チャック板
KR20030077155A (ko) 플라즈마 융사를 이용한 반도체 제조용 부품의 제조 및재생방법
JP2000183143A (ja) 静電チャック
JPS61260949A (ja) 静電チヤツク板
JP2000012666A (ja) 静電チャック
JPS6156843A (ja) 静電吸着板