JPH07142421A - 半導体装置のシャロージャンクション形成方法および形成装置 - Google Patents

半導体装置のシャロージャンクション形成方法および形成装置

Info

Publication number
JPH07142421A
JPH07142421A JP5315935A JP31593593A JPH07142421A JP H07142421 A JPH07142421 A JP H07142421A JP 5315935 A JP5315935 A JP 5315935A JP 31593593 A JP31593593 A JP 31593593A JP H07142421 A JPH07142421 A JP H07142421A
Authority
JP
Japan
Prior art keywords
plasma
semiconductor substrate
shallow junction
ions
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5315935A
Other languages
English (en)
Other versions
JP2919254B2 (ja
Inventor
Shuichi Saito
修一 齋藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP5315935A priority Critical patent/JP2919254B2/ja
Priority to US08/342,604 priority patent/US5561072A/en
Priority to KR1019940031119A priority patent/KR100204856B1/ko
Publication of JPH07142421A publication Critical patent/JPH07142421A/ja
Application granted granted Critical
Publication of JP2919254B2 publication Critical patent/JP2919254B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Abstract

(57)【要約】 【目的】 プラズマイオン注入により形成するシャロー
ジャンクションの特性を改善し、かつキャリア濃度の安
定化を図った形成方法および形成装置を得る。 【構成】 半導体基板11に不純物をイオン注入してP
Nジャンクションを形成するに際し、Si或いはGeを
プラズマイオン注入し(16)て結晶性を非晶質あるい
はそれに近い状態とし、かつ不純物としてのP型或いは
N型イオンをプラズマイオン注入し(17)、その後に
半導体基板を同一真空中で、または窒素やアルゴン等の
不活性ガス中で、あるいは大気中へ取り出した後20時
間以内に熱処理を行うことで不純物の酸化を防止し、こ
れにより不純物の活性化を改善し、キャリア濃度を高
め、かつボイドの発生がない改善された特性のシャロー
ジャンクションの形成が可能となる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造方法に
関し、特に半導体素子を構成する不純物拡散層の浅い接
合、即ちシャロージャンクションの形成方法に関するも
のである。
【0002】
【従来の技術】半導体装置の高密度化及び微細化に伴
い、MOSトランジスタのソース・ドレインの拡散層の
接合深さも浅くされる傾向にある。例えば、MOSトラ
ンジスタとキャパシタをメモリセルとするDRAMで考
えると、MOSトランジスタのソース・ドレインの接合
深さは64M DRAMでは約0.1μm、256M
DRAMでは0.08μmであり、更に高集積化される
1G DRAMでは0.06μmと予想されている。こ
のような浅い接合、即ちシャロージャンクションを形成
するためには、不純物を浅く導入すること、及び熱処理
時に不純物が拡散しないように低熱サイクルを実現する
ことが必要である。後者の熱処理に関しては、低温で熱
処理を行うか、あるいはランプアニールのような高温短
時間処理を行うことが必要である。これらに関してはあ
る程度の検討が進んでおり、その技術開発もなされてい
る。したがって、シャロージャンクションを形成するた
めには、後者の浅い不純物導入層を形成することが必要
となる。
【0003】半導体基板に対する不純物の導入方法とし
ては、現在イオン注入法が主に用いられている。このイ
オン注入法を用いてシャロージャンクションを形成しよ
うとする場合、特にp型の不純物であるボロンをイオン
注入する場合、ボロンの拡散係数が大きいため、数KV
の低電圧でイオン注入する必要がある。しかし、このよ
うな低電圧でかつ高電流を安定に取り出すことはかなり
困難である。そのため、従来では低電圧で不純物イオン
を基板中へ導入する方法が検討されており、例えばプラ
ズマドーピング法として報告されたものがある。これ
は、H2 あるいはHeガスをB2 6 あるいはPH3
混合し、900V程度の直流放電プラズマを用いて基板
中へ不純物イオンを900V程度で加速し、導入しよう
とする方法である。しかし、この方法では浅い領域に不
純物は導入されるものの、その活性化が悪いという問題
がある。
【0004】これを解決する方法として、特開平1−1
20015号公報では、不純物をドーピングする際に高
純度Arガスで希釈する方法が提案されている。この場
合のプロセスフローを図6に示す。まず、不純物ガス、
例えばB2 6 ガスと共に高純度Arガスの流量を制御
しながら平行平板型のプラズマ装置に導入し、1KV以
下の直流電圧を印加してプラズマを立て、ボロンのドー
ピングを行なう。これにより、固溶度を越えた1×10
21cm-3以上のキャリア濃度を有するボロンの導入層を
実現している。即ち、この公報によれば、プラズマを用
いたドーピングにおいて活性化が悪い原因としては、ド
ーピングガスとして水素化物あるいはフッ化物を用いて
いるためにB−H,P−H,As−H,B−Fの結合が
できるためであると記載されており、その対策として、
Arをプラズマ中に導入しイオン化し、不純物イオンと
同時に試料中に導入することにより、不純物とHやFと
の結合を切り活性化を増大させるという方法を用いてい
る。しかし、Arイオンのエネルギーが1KVを越える
と、スパッターリングレートが増大するために、Arイ
オンの入射エネルギーを1KV以下にしている。
【0005】
【発明が解決しようとする課題】この公報に記載された
方法では、Arイオンが試料中に多量に導入されるため
に、熱処理後、膜中にボイドが形成され、ジャンクショ
ン特性を劣化させる問題がある。また、Arイオンと同
時に不純物を同じ電圧で加速し試料中に導入しているた
めに、Arイオンより試料中の導入深さの深いもの、例
えばボロンやリンではジャンクションがArによるダメ
ージ層より深くなるので、特性の劣化はみられない。し
かし、ヒ素のように不純物がArより浅く導入される場
合には、Arによるダメージにより特性が劣化する問題
がある。また、この方法では固溶度を越えた1×1021
cm-3以上のキャリア濃度を有するボロンの導入層が実
現できたとしている。しかし、このようなキャリア濃度
が熱的に安定かどうか、さらに再現性良く実現できるか
どうか極めて問題がある。本発明の目的は、ジャンクシ
ョン特性が良好でかつキャリア濃度が安定なシャロージ
ャンクションを形成する方法およびその形成装置を提供
することにある。
【0006】
【課題を解決するための手段】本発明のシャロージャン
クションの形成方法は、半導体基板に対してSi或いは
Geをプラズマイオン注入する工程と、不純物としての
P型或いはN型イオンをプラズマイオン注入する工程
と、これらがイオン注入された半導体基板を同一真空中
において、または窒素やアルゴン等の不活性ガス中にお
いて、あるいは大気中へ取り出した後20時間以内に大
気中において熱処理を行う工程を含んでいる。ここで、
SiあるいはGeのプラズマイオン注入は、SiH4
SiF4 ,GeH4 ,GeF4 等のガスをプラズマ中へ
導入し、SiあるいはGe等をイオン化した後、数KV
に加速して半導体基板中へ導入する。また、P型または
N型イオンのプラズマイオン注入は、B2 6 ,B
3 ,PH3 ,PF5 ,AsH3 等のガスをプラズマ中
へ導入し、P,B,As等をイオン化し、数KVに加速
して半導体基板中へ導入する。なお、SiあるいはGe
を半導体基板にプラズマイオン注入した後に、P型また
はN型イオンを半導体基板にプラズマイオン注入する、
或いはその逆の順序でプラズマイオン注入する。更に
は、両者を同時にイオン注入する。また、本発明のシャ
ロージャンクションの形成装置は、真空チャンバと、こ
の真空チャンバ内に配置される平行平板電極と、平行平
板電極に載置される試料を加熱する加熱手段と、平行平
板電極に高周波電力を印加する電源と、前記真空チャン
バ内に導入ガスと不活性ガスを導入させるガス供給系と
を備えており、真空チャンバはその内面の少なくともプ
ラズマに曝される領域がSiあるいはSiO2 でコーテ
ィングされている。
【0007】
【作用】プラズマを用いて不純物を導入する場合、その
活性化が悪くなる原因として2つ考えられる。1つは、
不純物導入直後の結晶性の問題である。プラズマを用い
た不純物ドーピングの場合、ドーピング直後のシリコン
基板内の結晶性を後方散乱法やサーマルウェーブ法等を
用いて測定すると、不純物のピーク濃度が1021cm-3
程度にもかかわらず、殆ど結晶が壊れていない。したが
って、その後の熱処理を行っても不純物原子が格子位置
に入らず活性化しないことが考えられる。もう1つの原
因としては、不純物の酸化の問題である。プラズマを用
いたドーピングを行った場合、試料表面が最も不純物濃
度が高く、深さ方向に減少する濃度分布を有するため
に、表面の不純物が大気中に曝され、水分等と反応し、
不純物の酸化物が形成されることがXPSの測定で判明
した。したがって、その後熱処理を行ってもこれらの酸
化物が有効にシリコン基板中に拡散せず、活性化してい
ないことが判った。
【0008】以上の解析から、キャリア濃度を増大する
方法としては、不純物の導入された領域の結晶性を壊
し、非晶質にすること、および不純物導入後試料を大気
に曝さず、酸化することなく熱処理を行うことが必要に
なる。本発明では、前者の非晶質にする方法として、基
板中に導入されてもその後悪影響のでないSiあるいは
Geを用いた。これらのSiあるいはGeを導入するタ
イミングとしては、熱処理前に不純物導入層が非晶質に
なっていれば良いわけであり、不純物導入の前あるいは
その後に導入してもよく、また条件によっては不純物導
入と同時に導入してもよい。一方、後者の不純物の酸化
を抑制する方法としては、不純物導入と同時に同一真空
中で熱処理を行うか、あるいは大気に触れないように窒
素あるいはアルゴンガス中で取り出した後に同様のガス
雰囲気中で保管し、その後熱処理を行えばよいことにな
る。本発明では、前記2つの点に注目し、その改善を行
い、不純物の活性化率を増大させることが可能となる。
【0009】
【実施例】次に、本発明を図面を参照して説明する。図
1は本発明を実施するためのプラズマを用いたドーピン
グ装置の構成図である。真空チャンバ1内に上部電極2
及び下部電極3からなる平行平板型の電極を配置し、か
つこの下部電極3上に試料として半導体基板4を載置す
るように構成する。また、下部電極3にはヒータ5を配
設し、ドーピング時およびドーピング後にそれぞれ半導
体基板4を加熱するように構成する。更に、前記平行平
板型の電極2,3には高周波電源6から高周波電流を供
給するようにする。また、導入ガス源7としてSiH4
及びBH3 を用い、またキャリアガスとしてはH2 また
はArガスを使用し、これらを真空チャンバ1内に導入
できるように構成する。なお、真空チャンバ1の内壁
は、SiあるいはSiO2 でコーティングした。このよ
うなコーティングを行わないと、プラズマに曝された一
部分の金属から金属原子がイオン化し、試料中に直接導
入されてしまうために、その後のジャンクション特性等
を劣化させてしまう。このドーピング装置では、シャロ
ージャンクションを形成する際には、平行平板型の電極
2,3に高周波電源6から電位を印加しプラズマを発生
させる。このとき、高周波電源の電位は波形Aに示すよ
うに、上部電極2と下部電極3とに交互に電位を印加
し、またこの時の電圧としては、0.5〜4KVとす
る。
【0010】図2は図1のドーピング装置を用いて半導
体基板にシャロージャンクションを形成する構成を示す
工程断面図である。先ず、図2(a)のように、N型シ
リコン基板11上に素子分離用の酸化膜12を形成し、
かつ素子領域にゲート酸化膜13を10nm形成し、チ
ャネル注入後、ポリシリコンを300nm堆積し、ドラ
イエッチングを用いてゲート電極14を形成する。その
後、酸化膜を堆積し、エッチングバックを行うことで、
サイドウォール15を形成する。次いで、図2(b)の
ように、図1のドーピング装置を用い、プラズマにより
不純物をソース・ドレイン領域に導入する。先ず、前記
したシリコン基板11をHF系のエッチング液を用い
て、ソース・ドレイン領域の自然酸化膜(図示せず)を
除去する。その後、試料を装置内に導入後、SiH4
5000ppmで20ccmの流量で導入し、電圧を1
〜3KVの範囲で可変してSiの導入を行なう。16は
Siイオンの導入層である。
【0011】次に、図2(c)のように、B2 6 ガス
を5000ppmで20ccmの流量で導入し、電圧と
しては0.5〜4KV印加した。ここでは、1.2KV
の電圧を印加したとする。この時の放電時の真空度は2
4〜26mtorrであり、放電時間は4分間行った。
17はBイオンの導入層である。その後、図2(d)の
ように、同一真空中でランプを用いた熱処理を行った。
熱処理条件としては、1050℃で10秒とした。これ
により、P型のソース・ドレイン領域18が形成され、
MOSトランジスタが形成される。
【0012】このようにして形成されたMOSトランジ
スタのソース・ドレインにおける熱処理後のボロン分布
をSIMSあるいは拡がり抵抗法(SR)を用いて評価
した。図3にはボロン導入後のボロンの総量をSIMS
を用いて測定した結果を示している。これよりボロンは
試料表面より約60nmまでに導入されていることが分
かる。また、図4には、熱処理後のキャリア濃度をSR
を用いて測定した結果を示す。図中破線は本方法を用い
た場合のものであり、実線は比較のためにSiのドーピ
ングを行わずかつ、ボロンドーピング後大気中に試料を
取り出した後、熱処理したものの結果を示す。これよ
り、従来の方法ではキャリア濃度のピークは約1×10
19cm-3程度であったが、本方法を用いることで約1×
1020cm-3程度のキャリア濃度が実現できることが分
かった。
【0013】なお、ここでは従来の方法、即ちB2 6
ガスを用いてボロンをドーピング後、試料を大気中に取
り出し熱処理を行う方法において、B2 6 ガスをAr
で希釈したもの、あるいはArを故意に導入した場合に
付いても検討した。この時には、Arの流量が増大する
と、試料表面の荒れが大きく、デバイス試作には適応で
きないことが分かった。さらに、Arの流量を少なくす
ると、例えばB2 6濃度を5000ppmにし、これ
以外をArガスをキャリアガスとして使用したが、キャ
リアの活性化は悪く、図4に示した従来例と同程度の活
性化しか得られなかった。したがって、試料表面が荒れ
ず、かつキャリアの活性化が増大する様なAr流量の最
適化条件は見いだせなかった。ここで、前記した例で
は、Siドーピング後にBドーピングを行ったが、この
順番は逆にして、まずBドーピングを行い、次にSiド
ーピングを行っても良い。さらに、SiドーピングとB
ドーピングを同時に行っても流量の制御を行えば問題な
い。
【0014】また、前記実施例では不純物のドーピング
後に同一真空中で熱処理を行っているが、これに対して
は、試料を取り出した後に、窒素あるいはアルゴン雰囲
気中で保管するか、あるいは試料を大気中に取り出した
後に20時間以内に熱処理を行えば特に問題ないことが
分かった。図5には、Bをドーピング後試料を大気中に
報知した時間に対する拡散層の抵抗値の変化を示してい
る。比較のために窒素雰囲気中で60時間保管した試料
の抵抗値も同時に示した。これより大気中での試料の放
置時間が長くなるにつれて拡散層の抵抗値は上昇する
が、20時間程度であれば、その変化量は約10%程度
であり許容できる。したがって、大気中に保管する場合
には20時間以内に熱処理をする必要がある。一方、窒
素中で保管した場合には60時間まで保管しても特に抵
抗値の上昇は見られず、問題がないことが分かった。ま
た、この結果は、不純物を基板中へ導入後、同一真空中
で熱処理を行った場合と同じであることが確認された。
したがって、プラズマを用いて不純物を導入後、同一真
空中で熱処理を行うか、あるいは不純物を導入後、試料
を窒素やアルゴン等の不活性ガス中に保管するか、ある
いは試料を大気中へ取り出した後、20時間以内に熱処
理を行えば、拡散層の抵抗としては特に問題がないこと
が確認された。
【0015】なお、本発明者による種々の実験の結果、
本発明方法でジャンクションを形成した結果では、試料
としての半導体基板の表面の平坦性が保たれた状態で、
かつキャリアの活性化が十分に生じ、ピーク濃度で1×
1020cm-3程度のキャリア濃度が実現できた。また、
この時、ジャンクションの深さとしては、0.08〜
0.1μmを実現できており、0.4μmルールのデバ
イスへの適応はもちろんのこと、さらに微細な半導体装
置への適用も可能であることが確認された。
【0016】また、本発明で用いられる導入ガスとして
は、SiH4 ,SiF4 ,GeH4,GeF4 が用いら
れ、これらをプラズマ中へ導入してSiあるいはGe等
をイオン化して試料に導入させる。また、他の導入ガス
としてはB2 6 ,BF3 ,PH3 ,PF5 ,AsH3
が用いられ、これらをプラズマ中へ導入し、不純物、
P,B,Asをイオン化して試料に導入させる。
【0017】
【発明の効果】以上説明したように本発明は、半導体基
板に対してSi或いはGeをプラズマイオン注入し、か
つ不純物としてのP型或いはN型イオンをプラズマイオ
ン注入し、更にこれらがイオン注入された半導体基板を
同一真空中において、または窒素やアルゴン等の不活性
ガス中において、あるいは大気中へ取り出した後20時
間以内に大気中において熱処理しているので、Si或い
はGeを導入することで、不純物の導入領域の結晶性を
非晶質あるいはそれに近い状態にし、不純物イオンが格
子位置に入り易くし、かつ熱処理に際しての大気中での
不純物の酸化を防止し、これにより不純物の活性化を改
善し、キャリア濃度を高め、かつボイドの発生がない改
善された特性のシャロージャンクションの形成が可能と
なる。また、本発明は、シャロージャンクションを形成
するための装置の真空チャンバの内面の少なくともプラ
ズマに曝される領域がSiあるいはSiO2 でコーティ
ングされているので、プラズマにより金属イオン等が半
導体基板に導入されることを防止し、ジャンクション特
性の劣化を防止することが可能となる。
【図面の簡単な説明】
【図1】本発明を実施するためのドーピング装置の概略
構成図である。
【図2】本発明の一実施例の工程を示す断面図である。
【図3】本発明方法によりボロンをドーピングした後の
SIMSによるボロン分布を示す図である。
【図4】本発明方法によりボロンをドーピングした試料
を熱処理後にSRを用いた測定したキャリア濃度分布を
示す図である。
【図5】ボロンドーピング後の拡散層の試料大気放置時
間依存性を示す図である。
【図6】従来のプラズマ不純物導入の工程を示すフロー
チャートである。
【符号の説明】
1 真空チャンバ 2 上部電極 3 下部電極 4 試料(半導体基板) 5 ヒータ 6 高周波電源 7 ガス源 11 シリコン基板 14 ゲート電極 16 Siイオン導入層 17 Bイオン導入層 18 ソース・ドレイン領域

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板に不純物をイオン注入してP
    Nジャンクションを形成するに際し、Si或いはGeを
    プラズマイオン注入する工程と、不純物としてのP型或
    いはN型イオンをプラズマイオン注入する工程と、前記
    半導体基板を同一真空中において、または窒素やアルゴ
    ン等の不活性ガス中において、あるいは大気中へ取り出
    した後20時間以内に大気中において熱処理を行う工程
    を含むことを特徴とするシャロージャンクション形成方
    法。
  2. 【請求項2】 SiあるいはGeのプラズマイオン注入
    は、SiH4 ,SiF4 ,GeH4 ,GeF4 等のガス
    をプラズマ中へ導入し、SiあるいはGe等をイオン化
    した後、数KVに加速して半導体基板中へ導入し、P型
    またはN型イオンのプラズマイオン注入は、B2 6
    BF3 ,PH3 ,PF5 ,AsH3 等のガスをプラズマ
    中へ導入し、P,B,As等をイオン化し、数KVに加
    速して半導体基板中へ導入する請求項1のシャロージャ
    ンクション形成方法。
  3. 【請求項3】 SiあるいはGeを半導体基板にプラズ
    マイオン注入した後に、P型またはN型イオンを半導体
    基板にプラズマイオン注入する請求項1または2のシャ
    ロージャンクション形成方法。
  4. 【請求項4】 SiあるいはGeを半導体基板にプラズ
    マイオン注入する工程と、P型またはN型イオンを半導
    体基板にプラズマイオン注入する工程とを同時に行う請
    求項1または2のシャロージャンクション形成方法。
  5. 【請求項5】 真空チャンバと、この真空チャンバ内に
    配置される平行平板電極と、平行平板電極に載置される
    試料を加熱する加熱手段と、前記平行平板電極に高周波
    電力を印加する電源と、前記真空チャンバ内に導入ガス
    と不活性ガスを導入させるガス供給系とを備え、前記真
    空チャンバはその内面の少なくともプラズマに曝される
    領域がSiあるいはSiO2 でコーティングされたこと
    を特徴とする半導体装置のシャロージャンクション形成
    装置。
JP5315935A 1993-11-22 1993-11-22 半導体装置の製造方法および形成装置 Expired - Fee Related JP2919254B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP5315935A JP2919254B2 (ja) 1993-11-22 1993-11-22 半導体装置の製造方法および形成装置
US08/342,604 US5561072A (en) 1993-11-22 1994-11-21 Method for producing shallow junction in surface region of semiconductor substrate using implantation of plasma ions
KR1019940031119A KR100204856B1 (ko) 1993-11-22 1994-11-22 반도체 기판의 표면 영역내에 얕은 접합을 형성하기 위한 방법 및 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5315935A JP2919254B2 (ja) 1993-11-22 1993-11-22 半導体装置の製造方法および形成装置

Publications (2)

Publication Number Publication Date
JPH07142421A true JPH07142421A (ja) 1995-06-02
JP2919254B2 JP2919254B2 (ja) 1999-07-12

Family

ID=18071377

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5315935A Expired - Fee Related JP2919254B2 (ja) 1993-11-22 1993-11-22 半導体装置の製造方法および形成装置

Country Status (3)

Country Link
US (1) US5561072A (ja)
JP (1) JP2919254B2 (ja)
KR (1) KR100204856B1 (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0857354A1 (en) * 1995-08-14 1998-08-12 Advanced Material Engineering Research A process for fabricating semiconductor devices with shallowly doped regions using dopant compounds containing elements of high solid solubility
US5969398A (en) * 1997-08-07 1999-10-19 Mitsubishi Denki Kabushiki Kaisha Method for producing a semiconductor device and a semiconductor device
JP2002217312A (ja) * 2000-12-12 2002-08-02 Samsung Electronics Co Ltd Mosトランジスタのゲルマニウムがドーピングされたポリシリコンゲートの形成方法及びこれを利用したcmosトランジスタの形成方法
JP2005223218A (ja) * 2004-02-06 2005-08-18 Matsushita Electric Ind Co Ltd 不純物導入方法
JP2005260139A (ja) * 2004-03-15 2005-09-22 Matsushita Electric Ind Co Ltd 不純物導入方法
JP2005277220A (ja) * 2004-03-25 2005-10-06 Matsushita Electric Ind Co Ltd 不純物導入方法、不純物導入装置およびこの方法を用いて形成された半導体装置
JP2005322893A (ja) * 2004-04-05 2005-11-17 Toshiba Corp 不純物添加方法及び半導体装置の製造方法
JP2005340377A (ja) * 2004-05-25 2005-12-08 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法及び半導体装置
WO2005119745A1 (ja) * 2004-06-04 2005-12-15 Matsushita Electric Industrial Co., Ltd. 不純物導入方法
JP2006066686A (ja) * 2004-08-27 2006-03-09 Matsushita Electric Ind Co Ltd 不純物導入方法および不純物導入装置
US7091114B2 (en) 2002-04-16 2006-08-15 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
WO2006106779A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 不純物導入装置及び不純物導入方法
JPWO2005031832A1 (ja) * 2003-09-24 2006-12-07 松下電器産業株式会社 不純物導入方法、不純物導入装置およびこれらを用いて形成した電子素子
JP2007507077A (ja) * 2003-09-24 2007-03-22 アクセリス テクノロジーズ インコーポレーテッド 質量分離を伴うイオンビームスリットの引き出し法
KR100843231B1 (ko) * 2007-01-23 2008-07-02 삼성전자주식회사 플라즈마 도핑방법
US7501332B2 (en) 2004-04-05 2009-03-10 Kabushiki Kaisha Toshiba Doping method and manufacturing method for a semiconductor device
US7618883B2 (en) 2003-02-19 2009-11-17 Panasonic Corporation Method for introducing impurities and apparatus for introducing impurities
JP2010512649A (ja) * 2006-12-08 2010-04-22 アプライド マテリアルズ インコーポレイテッド プラズマ浸漬イオン注入プロセス
US7981779B2 (en) 2003-10-09 2011-07-19 Panasonic Corporation Method for making junction and processed material formed using the same

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514902A (en) * 1993-09-16 1996-05-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having MOS transistor
US5773356A (en) * 1996-02-20 1998-06-30 Micron Technology, Inc. Gettering regions and methods of forming gettering regions within a semiconductor wafer
US6013566A (en) * 1996-10-29 2000-01-11 Micron Technology Inc. Method of forming a doped region in a semiconductor substrate
US6130144A (en) * 1997-01-02 2000-10-10 Texas Instruments Incorporated Method for making very shallow junctions in silicon devices
US5770485A (en) * 1997-03-04 1998-06-23 Advanced Micro Devices, Inc. MOSFET device with an amorphized source and fabrication method thereof
US5899732A (en) * 1997-04-11 1999-05-04 Advanced Micro Devices, Inc. Method of implanting silicon through a polysilicon gate for punchthrough control of a semiconductor device
US5891787A (en) 1997-09-04 1999-04-06 Advanced Micro Devices, Inc. Semiconductor fabrication employing implantation of excess atoms at the edges of a trench isolation structure
US6100148A (en) * 1997-12-19 2000-08-08 Advanced Micro Devices, Inc. Semiconductor device having a liner defining the depth of an active region, and fabrication thereof
US6455385B1 (en) * 1998-01-07 2002-09-24 Advanced Micro Devices, Inc. Semiconductor fabrication with multiple low dose implant
TW473834B (en) 1998-05-01 2002-01-21 Ibm Method of doping a gate and creating a very shallow source/drain extension and resulting semiconductor
US6037204A (en) * 1998-08-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Silicon and arsenic double implanted pre-amorphization process for salicide technology
US6030863A (en) * 1998-09-11 2000-02-29 Taiwan Semiconductor Manufacturing Company Germanium and arsenic double implanted pre-amorphization process for salicide technology
JP2000183068A (ja) * 1998-12-10 2000-06-30 Oki Electric Ind Co Ltd 半導体装置の製造方法
KR100555459B1 (ko) * 1998-12-15 2006-04-21 삼성전자주식회사 Bf₃플라즈마를 사용하여 게이트 전극을 도핑하고 ldd구조를 형성하는 반도체 장치의 제조방법
KR100320796B1 (ko) * 1999-12-29 2002-01-17 박종섭 게이트 유전체막이 적용되는 반도체 소자의 제조 방법
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6531367B2 (en) * 2001-03-20 2003-03-11 Macronix International Co., Ltd. Method for forming ultra-shallow junction by boron plasma doping
JP4744141B2 (ja) * 2002-06-26 2011-08-10 セムエキップ インコーポレイテッド N及びp型クラスターイオン及び陰イオンの注入によるcmos素子の製造方法
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
CN101308822B (zh) * 2002-06-26 2013-12-25 山米奎普公司 通过植入n-及p-型簇离子及负离子制造cmos器件的方法
JP2004214607A (ja) * 2002-12-19 2004-07-29 Renesas Technology Corp 半導体装置及びその製造方法
US20040121524A1 (en) * 2002-12-20 2004-06-24 Micron Technology, Inc. Apparatus and method for controlling diffusion
US7297617B2 (en) * 2003-04-22 2007-11-20 Micron Technology, Inc. Method for controlling diffusion in semiconductor regions
US7163867B2 (en) * 2003-07-28 2007-01-16 International Business Machines Corporation Method for slowing down dopant-enhanced diffusion in substrates and devices fabricated therefrom
WO2005020306A1 (ja) 2003-08-25 2005-03-03 Matsushita Electric Industrial Co., Ltd. 不純物導入層の形成方法及び被処理物の洗浄方法並びに不純物導入装置及びデバイスの製造方法
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
KR20070011505A (ko) * 2004-05-14 2007-01-24 마쯔시다덴기산교 가부시키가이샤 반도체 장치의 제조방법 및 제조장치
US7161203B2 (en) * 2004-06-04 2007-01-09 Micron Technology, Inc. Gated field effect device comprising gate dielectric having different K regions
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
FR2881875B1 (fr) * 2005-02-09 2007-04-13 St Microelectronics Sa Procede de formation de transistors mos
US7428915B2 (en) 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
KR100699846B1 (ko) * 2005-06-16 2007-03-27 삼성전자주식회사 SiH4 플라즈마 이온주입을 이용한 실리콘 나노 결정체 형성방법 및 이를 포함한 반도체 소자
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7932036B1 (en) 2008-03-12 2011-04-26 Veridex, Llc Methods of determining acute myeloid leukemia response to treatment with farnesyltransferase
EP2113940A1 (en) * 2008-04-30 2009-11-04 Imec A method for producing NMOS and PMOS devices in CMOS processing
CN102593282A (zh) * 2012-02-09 2012-07-18 常州大学 一种ZnO纳米线阵列的掺杂方法
CN103871848A (zh) * 2012-12-14 2014-06-18 中国科学院微电子研究所 一种超浅结均匀性的改善方法
KR102497125B1 (ko) 2015-12-22 2023-02-07 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5856417A (ja) * 1981-09-30 1983-04-04 Toshiba Corp 半導体装置の製造方法
JPH01123338U (ja) * 1988-02-15 1989-08-22
JPH022117A (ja) * 1988-06-15 1990-01-08 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH0236525A (ja) * 1988-07-27 1990-02-06 Hitachi Ltd 半導体装置の製造方法
JPH03138927A (ja) * 1989-10-25 1991-06-13 Hitachi Ltd 半導体装置の製造方法
JPH03248420A (ja) * 1990-02-26 1991-11-06 Mitsubishi Electric Corp 半導体装置の製造方法
JPH03255622A (ja) * 1990-03-05 1991-11-14 Matsushita Electric Ind Co Ltd 不純物の導入装置及びその導入方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01120015A (ja) * 1987-11-04 1989-05-12 Kawasaki Steel Corp プラズマを用いた不純物のドーピング方法
US4835112A (en) * 1988-03-08 1989-05-30 Motorola, Inc. CMOS salicide process using germanium implantation
JP2773957B2 (ja) * 1989-09-08 1998-07-09 富士通株式会社 半導体装置の製造方法
JP3119693B2 (ja) * 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5403756A (en) * 1991-11-20 1995-04-04 Sharp Kabushiki Kaisha Method of producing a polycrystalline semiconductor film without annealing, for thin film transistor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5856417A (ja) * 1981-09-30 1983-04-04 Toshiba Corp 半導体装置の製造方法
JPH01123338U (ja) * 1988-02-15 1989-08-22
JPH022117A (ja) * 1988-06-15 1990-01-08 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH0236525A (ja) * 1988-07-27 1990-02-06 Hitachi Ltd 半導体装置の製造方法
JPH03138927A (ja) * 1989-10-25 1991-06-13 Hitachi Ltd 半導体装置の製造方法
JPH03248420A (ja) * 1990-02-26 1991-11-06 Mitsubishi Electric Corp 半導体装置の製造方法
JPH03255622A (ja) * 1990-03-05 1991-11-14 Matsushita Electric Ind Co Ltd 不純物の導入装置及びその導入方法

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0857354A1 (en) * 1995-08-14 1998-08-12 Advanced Material Engineering Research A process for fabricating semiconductor devices with shallowly doped regions using dopant compounds containing elements of high solid solubility
EP0857354A4 (en) * 1995-08-14 1999-12-22 Advanced Materials Eng METHOD FOR PRODUCING SEMICONDUCTOR COMPONENTS WITH FLAT-DOPED AREAS, USING COMPOUNDS CONTAINING ELEMENTS WITH HIGH SOLID SOLUBILITY
US5969398A (en) * 1997-08-07 1999-10-19 Mitsubishi Denki Kabushiki Kaisha Method for producing a semiconductor device and a semiconductor device
JP2002217312A (ja) * 2000-12-12 2002-08-02 Samsung Electronics Co Ltd Mosトランジスタのゲルマニウムがドーピングされたポリシリコンゲートの形成方法及びこれを利用したcmosトランジスタの形成方法
JP4633310B2 (ja) * 2000-12-12 2011-02-16 三星電子株式会社 Mosトランジスタのゲルマニウムがドーピングされたポリシリコンゲートの形成方法及びこれを利用したcmosトランジスタの形成方法
US7091114B2 (en) 2002-04-16 2006-08-15 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US8222128B2 (en) 2003-02-19 2012-07-17 Panasonic Corporation Method for introducing impurities and apparatus for introducing impurities
US7696072B2 (en) 2003-02-19 2010-04-13 Panasonic Corporation Method for introduction impurities and apparatus for introducing impurities
US7741199B2 (en) 2003-02-19 2010-06-22 Panasonic Corporation Method for introducing impurities and apparatus for introducing impurities
US7618883B2 (en) 2003-02-19 2009-11-17 Panasonic Corporation Method for introducing impurities and apparatus for introducing impurities
US7709362B2 (en) 2003-02-19 2010-05-04 Panasonic Corporation Method for introducing impurities and apparatus for introducing impurities
US8138582B2 (en) 2003-09-24 2012-03-20 Panasonic Corporation Impurity introducing apparatus having feedback mechanism using optical characteristics of impurity introducing region
JPWO2005031832A1 (ja) * 2003-09-24 2006-12-07 松下電器産業株式会社 不純物導入方法、不純物導入装置およびこれらを用いて形成した電子素子
JP2007507077A (ja) * 2003-09-24 2007-03-22 アクセリス テクノロジーズ インコーポレーテッド 質量分離を伴うイオンビームスリットの引き出し法
US7981779B2 (en) 2003-10-09 2011-07-19 Panasonic Corporation Method for making junction and processed material formed using the same
JP2005223218A (ja) * 2004-02-06 2005-08-18 Matsushita Electric Ind Co Ltd 不純物導入方法
JP2005260139A (ja) * 2004-03-15 2005-09-22 Matsushita Electric Ind Co Ltd 不純物導入方法
JP4622275B2 (ja) * 2004-03-15 2011-02-02 パナソニック株式会社 不純物導入方法
JP2005277220A (ja) * 2004-03-25 2005-10-06 Matsushita Electric Ind Co Ltd 不純物導入方法、不純物導入装置およびこの方法を用いて形成された半導体装置
JP2005322893A (ja) * 2004-04-05 2005-11-17 Toshiba Corp 不純物添加方法及び半導体装置の製造方法
US7501332B2 (en) 2004-04-05 2009-03-10 Kabushiki Kaisha Toshiba Doping method and manufacturing method for a semiconductor device
JP2005340377A (ja) * 2004-05-25 2005-12-08 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法及び半導体装置
WO2005119745A1 (ja) * 2004-06-04 2005-12-15 Matsushita Electric Industrial Co., Ltd. 不純物導入方法
JP2006066686A (ja) * 2004-08-27 2006-03-09 Matsushita Electric Ind Co Ltd 不純物導入方法および不純物導入装置
US7626184B2 (en) 2005-03-30 2009-12-01 Panasonic Corporation Impurity introducing apparatus and impurity introducing method
US7622725B2 (en) 2005-03-30 2009-11-24 Panaosnic Corporation Impurity introducing apparatus and impurity introducing method
WO2006106779A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 不純物導入装置及び不純物導入方法
JP5116466B2 (ja) * 2005-03-30 2013-01-09 パナソニック株式会社 不純物導入装置及び不純物導入方法
JP2010512649A (ja) * 2006-12-08 2010-04-22 アプライド マテリアルズ インコーポレイテッド プラズマ浸漬イオン注入プロセス
KR101502431B1 (ko) * 2006-12-08 2015-03-13 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 이머징된 이온 주입 프로세스
KR100843231B1 (ko) * 2007-01-23 2008-07-02 삼성전자주식회사 플라즈마 도핑방법

Also Published As

Publication number Publication date
KR950015570A (ko) 1995-06-17
US5561072A (en) 1996-10-01
JP2919254B2 (ja) 1999-07-12
KR100204856B1 (ko) 1999-06-15

Similar Documents

Publication Publication Date Title
JP2919254B2 (ja) 半導体装置の製造方法および形成装置
KR100642627B1 (ko) 다결정 실리콘 구조물의 제조 방법
JP3937892B2 (ja) 薄膜形成方法および半導体装置の製造方法
US5821158A (en) Substrate surface treatment method capable of removing a spontaneous oxide film at a relatively low temperature
JP5028093B2 (ja) 半導体製造用のゲート電極ドーパント活性化方法
US6465370B1 (en) Low leakage, low capacitance isolation material
EP0606114A1 (en) Method of producing field effect transistor
EP0967646A2 (en) Low leakage, low capacitance isolation material
CN100382316C (zh) 具有高熔点金属栅的半导体器件及其制造方法
US8164142B2 (en) Semiconductor device and method of manufacturing semiconductor device
US5599734A (en) Method for fabricating MOS transistor utilizing doped disposable layer
TWI261896B (en) Method for manufacturing a semiconductor device having polysilicon plugs
JPH09186245A (ja) 半導体素子の製造方法
US20100015788A1 (en) Method for manufacturing semiconductor device
KR20030001786A (ko) 반도체소자의 제조방법
US20020068407A1 (en) MOS transistor fabrication method
JP2004221306A (ja) 半導体装置の製造方法
JPS5856417A (ja) 半導体装置の製造方法
US6403455B1 (en) Methods of fabricating a memory device
JP3823798B2 (ja) 窒化シリコン膜の形成方法、ゲート絶縁膜の形成方法及びp形半導体素子の形成方法
JP3578345B2 (ja) 半導体装置の製造方法および半導体装置
JPH03209834A (ja) Mis型半導体装置の製造方法
JPH11150118A (ja) 半導体装置の製造方法
US20020048917A1 (en) Semiconductor device and method of fabricating same
KR100587050B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19970218

LAPS Cancellation because of no payment of annual fees