KR101502431B1 - 플라즈마 이머징된 이온 주입 프로세스 - Google Patents

플라즈마 이머징된 이온 주입 프로세스 Download PDF

Info

Publication number
KR101502431B1
KR101502431B1 KR1020097014057A KR20097014057A KR101502431B1 KR 101502431 B1 KR101502431 B1 KR 101502431B1 KR 1020097014057 A KR1020097014057 A KR 1020097014057A KR 20097014057 A KR20097014057 A KR 20097014057A KR 101502431 B1 KR101502431 B1 KR 101502431B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
implanting ions
plasma
ions
Prior art date
Application number
KR1020097014057A
Other languages
English (en)
Other versions
KR20090085705A (ko
Inventor
시지안 리
카르틱 라마즈와미
비아지오 갤로
동형 이
마제드 에이. 포어드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090085705A publication Critical patent/KR20090085705A/ko
Application granted granted Critical
Publication of KR101502431B1 publication Critical patent/KR101502431B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 이머전 이온 주입 프로세스에 의해 기판에 이온들을 주입하기 위한 방법들이 제공된다. 일 실시예에서, 플라즈마 이머전 이온 주입 프로세스에 의해 기판에 이온들을 주입하기 위한 방법은 처리 챔버에 기판을 제공하는 단계, 반응 가스와 환원 가스를 포함하는 가스 혼합물을 상기 챔버에 공급하는 단계, 및 상기 가스 혼합물로부터의 이온들을 상기 기판에 주입시키는 단계를 포함한다. 다른 실시예에서, 방법은 처리 챔버에 기판을 제공하는 단계, 반응 가스와 수소 함유 환원 가스를 포함하는 가스 혼합물을 상기 챔버에 공급하는 단계, 및 상기 가스 혼합물로부터의 이온들을 상기 기판에 주입시키는 단계를 포함한다.

Description

플라즈마 이머징된 이온 주입 프로세스{PLASMA IMMERSED ION IMPLANTATION PROCESS}
본 발명의 실시예들은 일반적으로 반도체 제조 프로세스들 및 소자들의 분야에 관한 것으로서, 보다 구체적으로는 플라즈마 이머전(immersion) 이온 주입 프로세스에 의해 기판에 이온들을 주입하는 방법들에 관한 것이다.
집적회로들은 기판(예, 반도체 웨이퍼) 상에 형성되어 집적회로 내에서 다양한 기능들을 수행하도록 상호 동작하는 백만개 이상의 마이크로-전계 효과 트랜지스터들(예, 상보형 금속-산화물-반도체(CMOS) 전계 효과 트랜지스터들)을 포함할 수 있다. CMOS 트랜지스터는 기판에 형성되는 소스 및 드레인 영역들 사이에 배치된 게이트 구조물을 포함한다. 게이트 구조물은 일반적으로 게이트 전극 및 게이트 유전체층을 포함한다. 게이트 전극은 게이트 유전체층 상부에 배치되어, 게이트 유전체층 아래의 드레인 및 소스 영역들 사이에 형성되는 채널 영역에서 전하 캐리어들의 흐름을 제어한다.
이온 주입 프로세스는 전형적으로 이온들을 기판에 주입 및 도핑하기 위해 사용되어, 기판 상에서 목표된 프로파일 및 농도로 게이트 및 소스 드레인 구조물을 형성한다. 이온 주입 프로세스 동안, 이온 소스 종(species)을 제공하기 위해 상이한 프로세스 가스들 또는 가스 혼합물들이 사용될 수 있다. 프로세스 가스들이 이온 주입 처리 챔버로 공급됨에 따라, RF 전력이 생성되어 프로세스 가스들에서 이온 분리(dissociation)를 촉진시키고 분리된 이온들을 기판의 표면을 향해 또는 표면으로 가속화하도록 플라즈마를 형성할 수 있다. 분리된 이온 종으로부터 결합되는 부산물들과 같은 불순물들이 플라즈마 분리 동안 형성될 수 있고 바람직한 이온들과 함께 기판으로 주입 및/또는 관통될 수 있으며, 이에 따라 기판의 구조물을 오염시킬 수 있다. 또한, 바람직하지 않은 이온 종은 기판 상에 형성된 구조물의 농도, 프로파일, 치수, 및 이온 분포를 변경시킬 수 있고, 이에 따라 전체 전기 소자 성능에 악영향을 줄 수 있다.
따라서, 개선된 이온 주입 프로세스가 필요하다.
플라즈마 이머전 이온 주입 프로세스에 의해 이온들을 기판에 주입하기 위한 방법들이 제공된다. 일 실시예에서, 이온들을 기판에 주입하기 위한 방법은 기판을 처리 챔버에 제공하는 단계; 반응 가스와 환원 가스를 포함하는 가스 혼합물을 상기 챔버에 공급하는 단계; 및 상기 가스 혼합물로부터의 이온들을 상기 기판에 주입하는 단계를 포함한다.
다른 실시예에서, 이온들을 기판에 주입하기 위한 방법은 기판을 처리 챔버에 제공하는 단계; 반응 가스와 수소 함유 환원 가스를 포함하는 가스 혼합물을 상기 챔버에 공급하는 단계; 및 상기 가스 혼합물로부터의 이온들을 상기 기판에 주입하는 단계를 포함한다.
또 다른 실시예에서, 이온들을 기판에 주입하기 위한 방법은 기판을 처리 챔버에 제공하는 단계; SiH4, B2H6, NH3, 및 H2를 포함하는 그룹에서 선택된 수소 함유 환원 가스 및 반응 가스를 포함하는 가스 혼합물을 상기 챔버에 공급하는 단계; 플라즈마를 형성하기 위해 RF 전력을 인가하는 단계; 이온 종으로서 상기 가스 혼합물을 분리시키고 상기 챔버로부터 부산물들을 외부로 펌핑(pumping out)하는 단계 - 상기 환원 가스로부터의 상기 이온 종은 이온 종의 제 1 부분과 반응함 -; 및 상기 가스 혼합물로부터의 상기 이온 종의 제 2 부분을 상기 기판에 주입하는 단계를 포함한다.
본 발명의 상기 인용된 특징들이 상세히 이해될 수 있는 방식으로, 상기에서 간단히 요약된 본 발명의 보다 특정한 설명이 실시예들을 참조로 이루어질 수 있으며, 실시예들 중 일부는 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로 간주되어서는 안되며, 본 발명은 다른 동일한 효과를 갖는 실시예들을 허용할 수 있다는 점을 유의해야 한다.
도 1A-1B는 본 발명을 실시하기 위해 적합한 플라즈마 이머전 이온 주입 툴의 일 실시예를 도시한다.
도 2는 본 발명의 일 실시예에 따른 플라즈마 이머전 이온 주입 프로세스를 위한 방법을 나타내는 프로세스 도면을 도시한다.
이해를 용이하게 하기 위해, 도면들에 공통적인 동일한 구성요소들을 지칭하 기 위해 가능한 동일한 참조번호들이 사용되었다. 일 실시예의 구성요소들과 특징들은 추가적인 인용 없이 다른 실시예들에 바람직하게 포함될 수 있다는 점을 고려한다.
그러나, 첨부된 도면들은 본 발명의 예시적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로 고려되어서는 안되며, 본 발명은 다른 동일한 효과를 갖는 실시예들을 허용할 수 있다는 점을 유의한다.
본 발명은 플라즈마 이머전 이온 주입 프로세스에 의해 기판에 이온들을 주입하기 위한 방법들을 제공한다. 일 실시예에서, 이온 주입 프로세스는 반응 가스와 환원 가스를 포함하는 가스 혼합물을 처리 챔버에 공급함으로써 수행된다. 플라즈마가 생성되어 가스 혼합물로부터의 이온들을 분리시키고, 이로써 원하는 대로 바이어스 전압에 의해 기판을 향해 가속되어 주입되는 이온들의 소스를 형성한다. 공급되는 가스 혼합물은 상이한 이온 종을 제공하고, 부산물들과 상호 작용하게 반응 및/또는 결합된 다음 처리 챔버 외부로 펌핑되는 특정한 분리된 이온들을 제공한다. 상호 작용하는 반응 및/또는 특정 이온들의 결합은 바람직하지 않은 이온들이 바람직한 이온들과 함께 기판에 주입되는 것을 방지한다.
도 1A는 본 발명의 일 실시예에 따른 이온 주입 프로세스를 실시하기 위해 사용될 수 있는 플라즈마 반응기(100)를 도시한다. 프로세스가 실시될 수 있는 하나의 적절한 반응기는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스 사로부터 이용가능한 P3i® 반응기이다. 본 발명에서 기술되는 방법들은, 다른 제조사들로부터의 플라즈마 반응기들을 포함하는, 다른 적절하게 적용되는 플라즈마 반응기들에서 실시될 수 있다는 점을 고려한다.
플라즈마 반응기(100)는 바닥부(124), 최상부(126), 및 프로세스 영역(104)을 둘러싸는 측벽들(122)을 구비한 챔버 몸체(102)를 포함한다. 기판 지지 어셈블리(128)는 챔버 몸체(102)의 바닥부(124)로부터 지지되고, 처리를 위해 기판(106)을 수용하도록 구성된다(adapted). 가스 분배 플레이트(130)는 기판 지지 어셈블리(128)와 대향하는 챔버 몸체(102)의 최상부(126)에 결합된다. 펌핑 포트(132)는 챔버 몸체(102)에 형성되고 진공 펌프(134)에 결합된다. 진공 펌프(134)는 스로틀(throttle) 밸브(136)를 통해 펌핑 포트(132)에 결합된다. 가스 소스(152)는 가스 분배 플레이트(130)에 결합되어 기판(106)상에서 수행되는 프로세스들을 위한 기체(gaseous) 프리커서 화합물들을 공급한다.
도 1A에 도시된 반응기(100)는 도 1B의 사시도에 최적으로 도시된 플라즈마 소스(190)를 추가로 포함한다. 플라즈마 소스(190)는 챔버 몸체(102)의 최상부(126) 외측 상에 장착되는 개별적인 외부 요각(reentrant) 도관들(140, 140')의 쌍을 포함하고, 외부 요각 도관들(140, 140')의 쌍은 서로 교차하게 배치된다 (또는 도 1B에 도시된 예시적인 실시예처럼 서로 직교하게 배치된다). 제 1 외부 도관(140)은 최상부(126)에 형성된 개구(198)를 통해 챔버 몸체(102)의 프로세스 영역(104)의 제 1 측면에 결합되는 제 1 단부(140a)를 갖는다. 제 2 단부(140b)는 프로세스 영역(104)의 제 2 측면에 결합되는 개구(196)를 갖는다. 제 2 외부 요각 도관(140b)은 프로세스 영역(104)의 제 3 측면에 결합된 개구(194)를 갖는 제 1 단부(140a'), 및 프로세스 영역(104)의 제 4 측면에 결합된 개구(192)를 갖는 제 2 단부(140b')를 구비한다. 일 실시예에서, 제 1 및 제 2 외부 요각 도관들(140, 140')은 서로 직교하게 구성되어, 챔버 몸체(102)의 최상부(126)의 주변 둘레에서 약 90도 간격들로 배치된 각각의 외부 요각 도관들(140, 140')의 2개의 단부들(140a, 140a', 140b, 140b')을 제공한다. 외부 요각 도관들(140, 140')의 직교하는 구성은 프로세스 영역(104)에 걸쳐서 균일하게 분배된 플라즈마 소스를 가능하게 한다. 제 1 및 제 2 외부 요각 도관들(140, 140')은 균일한 플라즈마 분배를 프로세스 영역(104)에 제공하기 위해 사용되는 다른 분배들로서 구성될 수 있다는 점을 고려한다.
자기적으로 침투성(permeable) 환형(torroidal) 코어들(142, 142')이 외부 요각 도관들(140, 140') 중 대응하는 하나의 일부분을 둘러싼다. 전도성 코일들(144, 144')은 각각의 임피던스 정합 회로들 또는 엘리먼트들(148, 148')을 통해 각각의 RF 플라즈마 소스 전력 생성기들(146, 146')에 결합된다. 각각의 외부 요각 도관들(140, 140')은 각각의 외부 요각 도관들(140, 140')의 2개의 단부들(140a, 140b 및 140a', 140b') 사이의 다른 연속적인 전기 경로를 각각 차단하는 절연 환형 링(150, 150')에 의해 차단되는 중공 전도성 관(hollow conductive tube)이다. 기판 표면에서의 이온 에너지는 임피던스 정합 회로 또는 엘리먼트(156)를 통하여 기판 지지 어셈블리(128)에 결합되는 RF 플라즈마 바이어스 전력 생성기(154)에 의해 제어된다.
도 1A를 다시 참조하면, 프로세스 가스 소스(152)로부터 공급되는 기체 화합물들을 포함하는 프로세스 가스들은 오버헤드 가스 분배 플레이트(130)를 통하여 프로세스 영역(104)으로 유입된다. RF 소스 플라즈마 전력(146)은 전력 어플리케이터(applicator)(142, 144)로부터 도관(140)에 공급되는 가스들에 결합되어, 외부 요각 도관(140) 및 프로세스 영역(104)을 포함하는 폐쇄된 제 1 환형 경로에서 순환하는 플라즈마 전류(current)를 생성한다. 또한, RF 소스 전력(146')은 다른 전력 어플리케이터(142', 144')로부터 제 2 도관(140')의 가스들에 결합될 수 있고, 제 1 환형 경로에 교차하는(예, 직교하는) 폐쇄된 제 2 환형 경로에서 순환하는 플라즈마 전류를 생성한다. 제 2 환형 경로는 제 2 외부 요각 도관(140') 및 프로세스 영역(104)을 포함한다. 각각의 경로들에서의 플라즈마 전류들은 서로 동일하거나 약간 오프셋될 수 있는 각각의 RF 소스 전력 생성기들(146, 146')의 주파수들에서 오실레이팅(oscillate)(예, 역방향)한다.
일 실시예에서, 프로세스 가스 소스(152)는 기판(106)에 주입되는 이온들을 제공하기 위해 사용될 수 있는 상이한 프로세스 가스들을 제공한다. 프로세스 가스들의 적절한 예들은 다른 여럿 중 B2H6, BF3, SiH4, SiF4, PH3, P2H5, PO3, PF3, PF5, 및 CF4를 포함한다. 각각의 플라즈마 소스 전력 생성기들(146, 146')의 전력은 이들의 결합 효과에 의해 프로세스 가스 소스(152)로부터 공급되는 프로세스 가스들을 효과적으로 분리시키고 기판(106)의 표면에서 바람직한 이온 흐름(ion flux)을 생성하도록 구동된다. RF 플라즈마 바이어스 전력 생성기(154)의 전력은 프로세스 가스들로부터 분리되는 이온 에너지가 기판 표면을 향해 가속되어 목표된 이온 농도로 기판(106)의 최상부 표면 아래에 목표된 깊이로 주입될 수 있도록 하는 선택된 레벨에서 제어된다. 예를 들어, 약 50 eV 미만과 같은 상대적으로 낮은 RF 전력에 의해, 상대적으로 낮은 플라즈마 이온 에너지가 달성될 수 있다. 낮은 이온 에너지로 분리된 이온들은 기판 표면으로부터 약 0Å 내지 약 100Å의 얕은 깊이로 주입될 수 있다. 대안적으로, 예를 들어 약 50 eV 보다 더 높은, 높은 RF 전력으로부터 생성 및 제공되는 높은 이온 에너지로 분리된 이온들은 기판 표면으로부터 100Å 깊이를 실질적으로 초과하는 깊이를 갖는 기판으로 주입될 수 있다.
제어되는 RF 플라즈마 소스 전력 및 RF 플라즈마 바어이스 전력의 결합은 처리 챔버(100)에서 바람직한 이온 분배 및 충분한 운동량(momentum)을 갖도록 가스 혼합물의 이온을 분리시킨다. 이온들이 기판 표면을 향해 바이어싱 및 통과되고, 이에 따라 목표된 이온 농도, 분배, 및 기판 표면으로부터의 깊이로 기판에 이온들을 주입한다. 더욱이, 제어되는 이온 에너지, 및 공급되는 프로세스 가스들로부터의 상이한 타입들의 이온 종은 기판(106)에 주입되는 이온들을 촉진시키고, 기판(106) 상에 게이트 구조물 및 소스 드레인 영역과 같은, 목표된 소자 구조물을 형성한다.
도 2는 플라즈마 이머전 이온 주입 프로세스에 의해 기판에 이온들을 주입하기 위한 방법(200)의 프로세스 흐름도를 도시한다. 프로세스(200)는 도 1A-1B에 기술된 바와 같이, 처리 챔버(100)와 같은 플라즈마 이머전 이온 주입 처리 챔버에서 수행될 수 있다.
방법(200)은 처리 챔버(100)에 기판을 제공하는 단계(202)에서 시작된다. 일 실시예에서, 기판은 실리콘 산화물, 실리콘 탄화물, 결정질 실리콘(예, Si<100> 또는 Si<111>), 변형된 실리콘, 실리콘 게르마늄, 도핑된 또는 비도핑된 폴리실리콘, 도핑된 또는 비도핑된 실리콘 웨이퍼들, 도핑된 실리콘, 게르마늄, 갈륨 비소, 갈륨 질화물, 유리, 및 사파이어와 같은 물질일 수 있다. 기판은 직사각형 또는 정방형 판유리(pane)들 뿐만 아니라, 200mm 또는 300mm 직경 웨이퍼들과 같은 다양한 치수들을 가질 수 있다. 달리 언급되지 않는다면, 본 발명에서 기술되는 실시예들 및 예들은 200mm 직경 또는 300mm 직경을 갖는 기판들 상에서 수행된다. 게이트 구조물을 형성하기 위해 기판이 사용되는 실시예들에서, 폴리실리콘 층은 기판 상의 게이트 유전체층 상에 배치될 수 있다.
단계(204)에서, 가스 혼합물이 처리 챔버(100)로 공급되어 후속적인 주입 프로세스를 위한 이온 종을 제공한다. 가스 혼합물은 도 1A에 기술된 바와 같이 또는 다른 적절한 수단에 의해, 프로세스 가스 소스(152)로부터 가스 분배 시스템(130)으로 공급될 수 있다.
일 실시예에서, 처리 챔버(100)로 공급되는 가스 혼합물은 반응 가스와 환원 가스를 포함한다. 반응 가스는 기판에 주입될 바람직한 이온들을 제공한다. 예를 들어, 반응 가스는 기판의 도핑 영역의 목표된 전기 성능을 형성하기 위해 전기 소자에서 활성 도펀트들을 형성하는데 사용되는, B, P, Ga, As 등과 같은 바람직한 이온 종의 소스를 제공할 수 있다. 일 실시예에서, 이온 종 소스를 제공하기 위해 사용될 수 있는 반응 가스들은 BF3, B2H6, BCl3, P2H5, PH3, GaN, AsF5, PF3 등을 포함 한다.
일 실시예에서, 환원 가스는 수소 함유 가스일 수 있다. 환원 가스는 바람직하지 않은 이온들이 바람직한 이온들과 함께 기판에 주입되는 것을 방지하기 위해 바람직한 이온 종 이외의 이온 종과 반응하도록 구성된다. 예를 들어, 반응 가스가 BF3 가스인 실시예들에서, BF3 가스는 이하에서 추가적으로 상세히 논의되는 것처럼 후속적인 주입 프로세스 동안 분리될 수 있고, BF2+, BF2 2+, 및 F- 이온들 형태의 부산물 이온 종을 생성할 수 있다. 환원 가스로부터 생성되는 수소 이온들은 불완전하게 분리된 B3+, BF2+, 및/또는 BF2 + 이온들 및/또는 부산물 F- 이온들과 효과적으로 반응한 다음, 챔버 외부로 펌핑되고, 이에 따라 바람직하지 않은 이온 종이 기판에 공동-주입되는 것을 방지한다. 일 실시예에서, 수소 함유 환원 가스는 SiH4, B2H6, NH3, H2 등을 포함할 수 있다.
다른 실시예에서, 처리 챔버(100)로 공급되는 가스 혼합물은 불활성 가스를 포함할 수 있다. 적절한 불활성 가스들의 예들은 N2, Ar, He, Xe, 및 Kr 등을 포함한다. 처리 챔버(100)에서 불활성 가스는 가스 혼합물의 이온 충격(bombardment)을 촉진시키고, 이에 따라 프로세스 가스 충돌 가능성을 효과적으로 증가시켜서, 이온 종의 재결합 감소를 초래한다.
선택사항으로서, 질소 함유 가스가 가스 혼합물에 공급되어 처리 챔버 외부로 보다 용이하게 펌핑되는 휘발성 부산물들의 형성을 보조할 수 있다. 질소 함유 가스는 NO, NO2, NH3, N2, N2O 등을 포함할 수 있다. 일 실시예에서, 질소 함유 가스는 약 0 sccm 내지 약 500 sccm으로 예를 들어 약 5 sccm 내지 약 100 sccm으로 처리 챔버에 공급될 수 있다.
단계(206)에서, 단계(204)에서 가스 혼합물로부터 생성되는 이온들을 기판에 주입하기 위해 플라즈마 이머전 이온 주입 프로세스가 수행된다. RF 소스 전력이 인가되어 처리 챔버(100)에서 가스 혼합물로부터 플라즈마를 생성한다. 생성된 플라즈마는 이온 종으로서 챔버(100)내의 가스 혼합물을 분리시킨다. RF 바이어스 전력이 RF 소스 전력과 함께 인가되어, 가스 혼합물로부터 이온 종을 분리시키고 분리된 이온 종을 기판 표면을 향하여 그리고 기판 표면으로부터 목표된 깊이로 통과(drive)시킬 수 있다. 챔버(100)에 인가되는 바이어스 전력 및 RF 소스는 목표된 에너지 레벨로 제어될 수 있고, 이에 따라 이온 종이 분리되어 목표된 농도 및 기판에서의 깊이로 도핑되는 것을 가능하게 한다.
일 실시예에서, 소스 RF 전력은 약 50 Watts 내지 약 2000 Watts에서 유지될 수 있다. 바이어스 RF 전력은 약 0 Volts 내지 약 12000 Volt의 RF 전압에서 약 50 Watts 내지 약 11000 Watts에서 유지될 수 있다.
또한, 몇몇 프로세스 파라미터들은 단계(206)에서 플라즈마 이머전 이온 주입 프로세스 동안 조절될 수 있다. 일 실시예에서, 챔버 압력은 약 4 mTorr 내지 약 500 mTorr에서 유지될 수 있다. 기판 온도는 약 25℃ 내지 약 400℃에서 유지될 수 있다.
단계(204)에서 공급되는 반응 가스, 환원 가스 및 불활성 가스 간에 가스 혼합물 유량(flow rate) 및/또는 가스 혼합물 유량비(flow ratio)는 각각의 타입의 가스들 간에 분리된 이온 종의 상대적 양들을 제어하도록 선택될 수 있다. 선택된 가스 혼합물 유량 및/또는 유량비는 가스 혼합물이 다른 종류의 이온 종 중에서 미리 결정된 양 및/또는 비율들로 분리될 수 있도록 하고, 이에 따라 기판 상에 원치 않는 측면 반응 및/또는 원치 않는 막 형성을 초래하는, 처리 챔버에서 특정한 타입들의 이온들의 과도한 양의 생성을 방지한다. 예를 들어, 불소 또는 아르곤 이온들과 같은, 과도한 양의 특정 타입의 이온 종이 기판 표면에 스퍼터링 및 충돌하여, 기판 표면을 손상시키고 거칠게(roughening)할 수 있다. 또한, BxHy 폴리머들과 같은, 과도한 양의 다른 특정한 타입들의 이온 종은 처리 챔버 외부로 용이하고 효율적으로 펌핑되지 않을 수 있고, 이에 따라 기판 상에 축적되고 기판 오염과 도펀트 프로파일 변경을 초래한다.
일 실시예에서, 반응 가스와 환원 가스의 유량 비는 약 1:0.5 내지 약 1:0.1로 제어될 수 있다. 다른 실시예에서, 반응 가스는 약 5 sccm 내지 약 600 sccm의 비율에서 예를 들어 약 10 sccm 내지 약 400 sccm으로 처리 챔버로 유동될 수 있다. 수소 함유 환원 가스는 약 5 sccm 내지 약 500 sccm과 같이 약 0 sccm 내지 약 800 sccm의 비율, 예를 들어 약 5 sccm 내지 약 100 sccm의 비율로 처리 챔버로 유동될 수 있다. 불활성 가스는 약 0 sccm 내지 약 1200 sccm의 비율로 예를 들어 약 5 sccm 내지 약 1000 sccm으로 처리 챔버로 유동될 수 있다.
본 발명의 예시적인 실시예에서, 가스 혼합물은 BF3 및 SiH4를 포함할 수 있다. 전술한 것처럼, BF3 및 SiH4 가스는 B3+, BF2+, BF2 2+, F-, Si4- 및 H+ 형태로 플 라즈마에 의해 이온 종으로서 분리된다. SiH4 가스로부터 제공되는 활성 H 종은 F 종 및 다른 분리된 부산물들과 반응하여, HF 또는 다른 타입들의 휘발성 종을 형성하고, 이에 따라 F 종 및 다른 타입들의 부산물들이 기판에 공동-주입되는 것을 방지한다. 적절한 양의 SiH4 가스 유동은 기판 표면 상에 바람직하지 않는 실리콘 막을 형성하는 과도한 분리된 Si 이온들을 방지하도록 선택된다. 일 실시예에서, BF3 가스 및 SiH4 가스는 1:80과 같이 약 1:50 내지 약 1:100의 유량 비를 가질 수 있다. 대안적으로, BF3 가스 유량은 50 sccm 내지 400 sccm으로 공급될 수 있고, SiH4 가스는 1 sccm 내지 20 sccm으로 예를 들어 5 sccm으로 공급될 수 있다. 소스 RF 전력은 약 100 Volts 내지 약 2000 Volts로 제어될 수 있고, 바이어스 RF 전력은 약 100 Volts 내지 약 12000 Volts로 제어될 수 있다.
본 발명에 따른 다른 예시적인 실시예에서, 처리 챔버(100)로 공급되는 가스 혼합물은 BF3, B2H6, 및 N2와 같은 질소 함유 가스를 포함할 수 있다. BF3, B2H6, 및 N2 가스는 B3+, BF2+, BF2 2+, F-, BxHy, N* 및 H+ 형태로 플라즈마에 의해 이온 종으로서 분리된다. B2H6 가스로부터 제공되는 활성 H 종은 F 종 및 다른 분리된 부산물들과 반응하여, 챔버 외부로 펌핑되는 HF 또는 다른 타입들의 휘발성 종을 형성하고, 이에 따라 F 종 및 다른 타입들의 부산물들이 기판으로 공동-주입되는 것을 방지한다. 불완전하게 분리된 B2H6 가스는 BxHy 형태의 폴리머 가스를 형성할 수 있다. N2 가스로부터 생성되는 N 이온 종이 사용되어 폴리머 가스 BxHy와 반응하여, 챔버 외부로 용이하게 펌핑되는 휘발성 가스를 형성하고, 이에 따라 폴리머 가스가 기판 상에 증착되어 소자 구조물에 악영향을 주는 것을 방지한다. 일 실시예에서, BF3 가스 및 B2H6 가스는 약 1:0.01 내지 약 1:0.5의 유량 비를 가질 수 있다. 대안적으로, BF3 가스 유량은 약 50 sccm 내지 400 sccm, 예를 들어 100 sccm으로 공급될 수 있고, B2H6 가스는 10 sccm 내지 100 sccm, 예를 들어 10 sccm으로 공급될 수 있으며, N2 가스는 약 5 sccm 내지 20 sccm, 예를 들어 10 sccm으로 공급될 수 있다. 소스 RF 전력은 약 100 Watts 내지 약 1000 Watts로 제어될 수 있고, 바어이스 RF 전력은 약 100 Volts 내지 약 12000 Volts로 제어될 수 있다.
본 발명의 또 다른 예시적인 실시예에서, 처리 챔버(100)로 공급되는 가스 혼합물은 BF3, B2H6, 및 SiH4를 포함할 수 있다. BF3, B2H6, 및 SiH4 가스는 B3+, BF2+, BF2 2+, F-, Si4-, BxHy, 및 H+ 형태로 플라즈마에 의해 이온 종으로서 분리된다. B 종은 기판 표면 아래의 약 10Å 내지 약 800Å의 깊이로 기판으로 가속 및 주입된다. B2H6 가스로부터 제공되는 활성 H 종은 F 종 및 다른 분리된 부산물들과 반응성으로 반응하여, HF 또는 다른 타입들의 휘발성 종을 형성하고, F 종 및 다른 타입들의 부산물들이 기판에 공동-주입되는 것을 방지한다. 불완전하게 분리된 BxHy 가스 및 H 이온들은 챔버 외부로 펌핑되는 휘발성 가스를 형성할 수 있고, 이에 따라 폴리머 가스 및 실리콘 이온들이 기판 상에 증착되어 소자 구조물에 악영향을 주는 것을 방지할 수 있다. 일 실시예에서, BF3 가스, B2H6 가스 및 SiH4 가스는 약 1:0.01:0.01 내지 약 1:0.1:0.01의 유량 비를 가질 수 있다. 대안적으로, BF3 가스 유량은 50 sccm 내지 400 sccm으로 공급될 수 있고, B2H6 가스는 10 sccm 내지 100 sccm으로 공급될 수 있으며, SiH4 가스는 약 5 sccm 내지 20 sccm으로 공급될 수 있다. 소스 RF 전력은 약 100 Watts 내지 약 1000 Watts로 제어될 수 있고, 바이어스 RF 전력은 약 100 Volts 내지 약 12000 Volts로 제어될 수 있다.
따라서, 플라즈마 이머전 이온 주입 프로세스에 의해 기판에 이온들을 주입하기 위한 방법이 제공된다. 개선된 방법은 기판 상의 도펀트 이온 농도를 변경시키거나 악의적으로 오염시킴 없이, 목표된 양의 도펀트들을 기판 표면으로부터의 목표된 깊이로 바람직하게 주입시키고, 이에 따라 목표된 전기 성능을 갖는 전기 소자들을 기판 상에 형성할 수 있다.
전술한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가적인 실시예들이 그 기본 범주를 벗어남이 없이 안출될 수 있으며, 그 범주는 이하의 청구범위에 의해 결정된다.

Claims (27)

  1. 플라즈마 이머전(immersion) 이온 주입 프로세스에 의해 기판에 이온들을 주입시키기 위한 방법으로서,
    처리 챔버(processing chamber)에 기판을 제공하는 단계;
    상기 챔버 내에서 반응 가스와 환원 가스를 포함하는 가스 혼합물로부터 플라즈마를 생성하는 단계; 및
    상기 플라즈마 이머전 이온 주입 프로세스에 의해 상기 기판에 상기 플라즈마로부터의 이온들을 주입시키는 단계를 포함하고,
    상기 반응 가스는 BF3를 포함하고 상기 환원 가스는 B2H6를 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마를 생성하는 단계는,
    상기 가스 혼합물과 함께 질소 함유 가스를 상기 처리 챔버에 공급하는 단계를 더 포함하는, 기판에 이온들을 주입시키기 위한 방법.
  3. 제 1 항에 있어서,
    상기 플라즈마를 생성하는 단계는,
    5 sccm 내지 600 sccm 사이에서 상기 반응 가스를 공급하는 단계; 및
    0 sccm 내지 500 sccm 사이에서 상기 환원 가스를 공급하는 단계를 더 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  4. 제 1 항에 있어서,
    상기 가스 혼합물은 비활성 가스와 함께 상기 처리 챔버 내로 공급되고,
    상기 비활성 가스는 Ar, He, Xe, Kr 또는 N2 중 적어도 하나를 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  5. 삭제
  6. 삭제
  7. 제 1 항에 있어서,
    상기 이온들을 주입시키는 단계는,
    RF 소스 전력을 인가하는 단계; 및
    RF 바이어스 전력을 인가하는 단계를 더 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  8. 제 1 항에 있어서,
    상기 플라즈마를 생성하는 단계는,
    상기 반응 가스로부터 분리된 이온들의 일부와 상기 환원 가스를 반응시키는 단계를 더 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  9. 제 1 항에 있어서,
    상기 이온들을 주입시키는 단계는,
    상기 기판의 표면으로부터 10 Å 내지 800Å의 깊이로 상기 기판에 상기 이온들을 주입시키는 단계를 더 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 제 1 항에 있어서,
    상기 반응 가스 및 상기 환원 가스는 1:0.01 내지 1:0.5 사이의 상기 반응 가스 대 상기 환원 가스의 가스 유량 비로 공급되는,
    기판에 이온들을 주입시키기 위한 방법.
  15. 제 1 항에 있어서,
    상기 환원 가스는 수소 함유 가스인,
    기판에 이온들을 주입시키기 위한 방법.
  16. 제 2 항에 있어서,
    상기 질소 함유 가스는 NO, NO2, NH3, N2 또는 N2O 중 적어도 하나를 포함하는, 기판에 이온들을 주입시키기 위한 방법.
  17. 제 1 항에 있어서,
    상기 이온들을 주입시키는 단계는,
    50 Watts 내지 2000 Watts 사이에서 RF 소스 전력을 인가하는 단계; 및
    50 Watts 내지 11000 Watts 사이에서 RF 바이어스 전력을 인가하는 단계를 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  18. 제 8 항에 있어서,
    상기 처리 챔버 외부로 펌핑되는 휘발성 가스를 형성하는 단계를 더 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 플라즈마 이머전(immersion) 이온 주입 프로세스에 의해 기판에 이온들을 주입시키기 위한 방법으로서,
    처리 챔버(processing chamber)에 기판을 제공하는 단계;
    상기 챔버 내에서 반응 가스와 환원 가스를 포함하는 가스 혼합물로부터 플라즈마를 생성하는 단계; 및
    상기 플라즈마 이머전 이온 주입 프로세스에 의해 상기 플라즈마로부터의 이온들을 상기 기판에 주입시키는 단계를 포함하고,
    상기 반응 가스는 BF3 및 B2H6를 포함하고 상기 환원 가스는 SiH4를 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  24. 플라즈마 이머전(immersion) 이온 주입 프로세스에 의해 기판에 이온들을 주입시키기 위한 방법으로서,
    처리 챔버(processing chamber)에 기판을 제공하는 단계;
    상기 챔버 내로 SiH4, B2H6, NH3 및 H2로 이루어진 그룹으로부터 선택된 수소 함유 환원 가스 및 반응 가스를 포함하는 가스 혼합물을 공급하는 단계;
    플라즈마를 형성하기 위해 RF 전력을 인가하는 단계;
    이온 종(ion species)으로서 상기 가스 혼합물을 분리(dissociating)시키고 ― 상기 환원 가스로부터의 이온 종은 F 이온들과 반응함 ―, 부산물들을 상기 챔버 외부로 펌핑하는 단계; 및
    상기 가스 혼합물로부터의 B 이온들을 상기 플라즈마 이머전 이온 주입 프로세스에 의해 상기 기판에 주입시키는 단계를 포함하고,
    상기 반응 가스는 BF3를 포함하는,
    기판에 이온들을 주입시키기 위한 방법.
  25. 제 24 항에 있어서,
    상기 환원 가스로부터의 상기 이온 종은 H 이온들인,
    기판에 이온들을 주입시키기 위한 방법.
  26. 삭제
  27. 삭제
KR1020097014057A 2006-12-08 2007-12-07 플라즈마 이머징된 이온 주입 프로세스 KR101502431B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/608,357 US7732309B2 (en) 2006-12-08 2006-12-08 Plasma immersed ion implantation process
US11/608,357 2006-12-08
PCT/US2007/086848 WO2008073845A1 (en) 2006-12-08 2007-12-07 Plasma immersed ion implantation process

Publications (2)

Publication Number Publication Date
KR20090085705A KR20090085705A (ko) 2009-08-07
KR101502431B1 true KR101502431B1 (ko) 2015-03-13

Family

ID=39498586

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097014057A KR101502431B1 (ko) 2006-12-08 2007-12-07 플라즈마 이머징된 이온 주입 프로세스

Country Status (6)

Country Link
US (3) US7732309B2 (ko)
JP (1) JP5331703B2 (ko)
KR (1) KR101502431B1 (ko)
CN (2) CN101558183B (ko)
TW (1) TWI375260B (ko)
WO (1) WO2008073845A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5591470B2 (ja) 2005-08-30 2014-09-17 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 代替フッ化ホウ素前駆体を使用するホウ素イオン注入および注入のための大きな水素化ホウ素の形成
US7732309B2 (en) 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
JP5558480B2 (ja) * 2008-10-31 2014-07-23 アプライド マテリアルズ インコーポレイテッド P3iチャンバにおける共形ドープの改善
SG10201401425RA (en) 2009-04-13 2014-08-28 Applied Materials Inc Modification of magnetic properties of films using ion and neutral beam implantation
US8062965B2 (en) * 2009-10-27 2011-11-22 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
KR101747473B1 (ko) 2009-10-27 2017-06-27 엔테그리스, 아이엔씨. 이온 주입 시스템 및 방법
TWI689467B (zh) * 2010-02-26 2020-04-01 美商恩特葛瑞斯股份有限公司 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
CN106237934B (zh) 2010-08-30 2019-08-27 恩特格里斯公司 由固体材料制备化合物或其中间体以及使用该化合物和中间体的设备和方法
KR101929070B1 (ko) * 2011-03-24 2018-12-13 엔테그리스, 아이엔씨. 비소 및 인의 클러스터 이온 주입 방법
US9812291B2 (en) 2012-02-14 2017-11-07 Entegris, Inc. Alternate materials and mixtures to minimize phosphorus buildup in implant applications
US20150132929A1 (en) * 2012-05-01 2015-05-14 Tokyo Electron Limited Method for injecting dopant into substrate to be processed, and plasma doping apparatus
US9524849B2 (en) 2013-07-18 2016-12-20 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US9570271B2 (en) * 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
KR20170095887A (ko) * 2014-12-24 2017-08-23 도쿄엘렉트론가부시키가이샤 도핑 방법, 도핑 장치 및 반도체 소자의 제조 방법
US10522330B2 (en) 2015-06-12 2019-12-31 Varian Semiconductor Equipment Associates, Inc. In-situ plasma cleaning of process chamber components
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US20170292186A1 (en) * 2016-04-11 2017-10-12 Aaron Reinicker Dopant compositions for ion implantation
CN108417484B (zh) * 2018-04-13 2020-05-12 中国电子科技集团公司第四十六研究所 一种提升光电传感器用硅外延层掺杂浓度均匀性的方法
US11048158B2 (en) * 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
CN108962734B (zh) * 2018-06-27 2021-01-01 武汉华星光电半导体显示技术有限公司 一种多晶硅半导体层的制备方法、薄膜晶体管及制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142421A (ja) * 1993-11-22 1995-06-02 Nec Corp 半導体装置のシャロージャンクション形成方法および形成装置
JPH07506339A (ja) * 1992-01-09 1995-07-13 エンザクタ アール アンド ディ リミテッド 細胞毒性薬剤の不活性化
US20030153165A1 (en) * 2000-10-24 2003-08-14 Takaharu Kondo Method of forming silicon-based thin film, method of forming silicon-based semiconductor layer, and photovoltaic element
JP2004179592A (ja) * 2002-11-29 2004-06-24 Matsushita Electric Ind Co Ltd プラズマドーピング方法およびデバイス

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08293279A (ja) * 1995-04-20 1996-11-05 Fuji Xerox Co Ltd 非質量分離型イオン注入装置
JPH1154451A (ja) * 1997-08-07 1999-02-26 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
WO2001043157A1 (en) * 1999-12-13 2001-06-14 Semequip, Inc. Ion implantation ion source, system and method
CN1307143A (zh) * 2000-01-21 2001-08-08 李京熙 薄膜的制作方法及制作装置
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
EP1307896A2 (en) * 2000-08-11 2003-05-07 Applied Materials, Inc. Externally excited torroidal plasma source
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
AU2001266847A1 (en) * 2000-11-30 2002-06-11 Semequip, Inc. Ion implantation system and control method
US6855436B2 (en) 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
US6841457B2 (en) 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US7622200B2 (en) 2004-05-21 2009-11-24 Semiconductor Energy Laboratory Co., Ltd. Light emitting element
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US7141457B2 (en) 2004-11-18 2006-11-28 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
US7504314B2 (en) 2005-04-06 2009-03-17 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom
US7732309B2 (en) 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07506339A (ja) * 1992-01-09 1995-07-13 エンザクタ アール アンド ディ リミテッド 細胞毒性薬剤の不活性化
JPH07142421A (ja) * 1993-11-22 1995-06-02 Nec Corp 半導体装置のシャロージャンクション形成方法および形成装置
US20030153165A1 (en) * 2000-10-24 2003-08-14 Takaharu Kondo Method of forming silicon-based thin film, method of forming silicon-based semiconductor layer, and photovoltaic element
JP2004179592A (ja) * 2002-11-29 2004-06-24 Matsushita Electric Ind Co Ltd プラズマドーピング方法およびデバイス

Also Published As

Publication number Publication date
JP2010512649A (ja) 2010-04-22
KR20090085705A (ko) 2009-08-07
CN101558183A (zh) 2009-10-14
CN102522324A (zh) 2012-06-27
CN101558183B (zh) 2012-03-14
US20110053360A1 (en) 2011-03-03
US20080138968A1 (en) 2008-06-12
US7732309B2 (en) 2010-06-08
US20080138967A1 (en) 2008-06-12
CN102522324B (zh) 2015-09-02
WO2008073845A1 (en) 2008-06-19
TW200832523A (en) 2008-08-01
JP5331703B2 (ja) 2013-10-30
TWI375260B (en) 2012-10-21
US8273624B2 (en) 2012-09-25
US7838399B2 (en) 2010-11-23

Similar Documents

Publication Publication Date Title
KR101502431B1 (ko) 플라즈마 이머징된 이온 주입 프로세스
US8501605B2 (en) Methods and apparatus for conformal doping
US8288257B2 (en) Doping profile modification in P3I process
US7378335B2 (en) Plasma implantation of deuterium for passivation of semiconductor-device interfaces
KR101626565B1 (ko) P3i 챔버에서 등각 도핑의 개선
US8043981B2 (en) Dual frequency low temperature oxidation of a semiconductor device
US9553174B2 (en) Conversion process utilized for manufacturing advanced 3D features for semiconductor device applications
US20060205192A1 (en) Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US8435906B2 (en) Methods for forming conformal oxide layers on semiconductor devices
US20120302048A1 (en) Pre or post-implant plasma treatment for plasma immersed ion implantation process
JP2004528707A (ja) Soiを形成する方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee