KR100320796B1 - 게이트 유전체막이 적용되는 반도체 소자의 제조 방법 - Google Patents

게이트 유전체막이 적용되는 반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR100320796B1
KR100320796B1 KR1019990065030A KR19990065030A KR100320796B1 KR 100320796 B1 KR100320796 B1 KR 100320796B1 KR 1019990065030 A KR1019990065030 A KR 1019990065030A KR 19990065030 A KR19990065030 A KR 19990065030A KR 100320796 B1 KR100320796 B1 KR 100320796B1
Authority
KR
South Korea
Prior art keywords
thin film
film
gate dielectric
manufacturing
semiconductor device
Prior art date
Application number
KR1019990065030A
Other languages
English (en)
Other versions
KR20010065161A (ko
Inventor
박대규
장세억
이정엽
Original Assignee
박종섭
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 박종섭, 주식회사 하이닉스반도체 filed Critical 박종섭
Priority to KR1019990065030A priority Critical patent/KR100320796B1/ko
Priority to US09/739,292 priority patent/US6524918B2/en
Publication of KR20010065161A publication Critical patent/KR20010065161A/ko
Application granted granted Critical
Publication of KR100320796B1 publication Critical patent/KR100320796B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 게이트 유전체막이 적용되는 반도체 소자의 제조 방법에 관한 것으로, 고유전체 물질을 게이트 유전체막으로 적용하는 고속 고밀도 로직 소자 및 1G DRAM급 이상의 초고집적 소자에 적용하는 게이트 유전체막으로 고유전체 물질인 A1203를 사용할 때, A1203게이트 유전체막 내의 금속성 공극에 의한 옥사이드 트랩 챠지를 감소시키고 A1203게이트 유전체막과 반도체 기판과의 계면 특성을 향상시키기 위하여, A1203박막을 증착한 후 Si, SiH4, Si2H6, SiCl4등의 실리콘 소오스 가스를 이온화 시켜 A1203박막에 Si 이온을 플라즈마 도핑시키고, 후속 어닐링 공정으로 도핑된 Si 이온을 SiO2로 변화시켜 금속성 공극이 줄어든 A1203게이트 유전체막을 형성하므로, 네가티브 트랩 챠지와 인터페이스 트랩 밀도가 줄어 벌크 트랩 챠지 및 문턱 전압 등의 조절을 용이하게 할 수 있는 게이트 유전체막이 적용되는 반도체 소자의 제조 방법에 관하여 기재된다.

Description

게이트 유전체막이 적용되는 반도체 소자의 제조 방법 {Method of manufacturing a semiconductor device utilizing a gate dielelctric}
본 발명은 게이트 유전체막이 적용되는 반도체 소자의 제조 방법에 관한 것으로, 특히 고유전체 물질을 게이트 유전체막으로 적용하는 고속 고밀도 로직소자(high speed high density logic device) 및 1G DRAM급 이상의 초고집적 소자에 적용하는 게이트 유전체막으로 고유전체 물질인 A1203를 사용할 때, A1203게이트 유전체막 내의 금속성 공극(metallic vacancy)에 의한 옥사이드 트랩 챠지(oxide trap charge)를 감소시키고 A1203게이트 유전체막과 반도체 기판과의 계면 특성을 향상시킬 수 있는 게이트 유전체막이 적용되는 반도체 소자의 제조 방법에 관한 것이다.
일반적으로, 반도체 소자에서 현재 양산중인 디램(DRAM) 소자 및 논리(Logic) 소자의 게이트 유전체막은 열 공정이나 급속 열 공정(rapid thermal process)으로 Si02를 성장시켜 사용하고 있다. 최근 디자인 룰(Design rule)이 축소(scale down)됨에 따라 Si02게이트 유전체막은 터널링(tunneling) 한계가 되는 25 내지 30Å이하로 줄어드는 추세에 있으며, 0.10㎛ 기술(technology)의 게이트 유전체로 30 내지 40Å의 두께가 예상된다. 그러나, 게이트 유전체막의 터널링에 의한 옵-전류(off-current)의 증가로 말미암아 소자의 정전기 전력 소비량(statice power consumption) 증가 및 동작 성능(performance)에 악영향이 우려되며, 특히 메모리 소자의 경우 누설 전류(leakage current)의 감소방안이 중요한 사안(issue)이 되고 있다. 이를 극복하기 위한 노력의 일환으로 고유전율을 갖는 유전체 물질을 게이트 유전체막으로 채용하는 연구가 진행되고 있다.
A1203는 유전 상수값이 8 내지 15로 열 산화막의 유전 상수값보다 약 2.5배 정도이고 누설 전류 특성이 우수하여 게이트 유전체막으로 채용하려는 연구가 널리 진행되고 있다. 최근 단원자 증착(ALD)법에 의한 A1203의 연구가 활발하게 진행되고 있으며, 어느 정도의 기본 물성들이 특성을 파악되고 있다. 그런데, A1203박막과 반도체 기판과의 계면 결함 밀도가 3E11 내지 8E11 states/cm2정도로 높은 편이며, A1203박막과 반도체 기판과의 MOS 시스템의 네가티브 옥사이드 트랩 챠지 (negative oxide trap charge)가 2E12 내지 3E12 states/cm2정도로 문제점이 되고 있다.
이는 증착된 상태의 A1203박막이 완전한 화학량론(stoichiometric)의 박막이 아닌 경우, 알루미늄-리치 A1203(Al-rich A1203) 또는 옥시겐-리치 A1203(O-rich A1203)를 형성하게 되는데, 이때 비정질 상태로 증착된 A1203박막과 반도체 기판과의 계면은 전기적 결함 및 전기적 트랩(electrical defects/trap)을 유발하고, 이로 인하여 계면 결함 밀도가 증가될 뿐만 아니라 특성을 저하시키고 옥사이드 트랩 챠지를 증가시키게 된다.
따라서, 본 발명은 A1203게이트 유전체막 내의 금속성 공극(metallicvacancy)에 의한 옥사이드 트랩 챠지(oxide trap charge)를 감소시키고 A1203게이트 유전체막과 반도체 기판과의 계면 특성을 향상시킬 수 있는 게이트 유전체막이 적용되는 반도체 소자의 제조 방법을 제공함에 그 목적이 있다.
이러한 목적을 달성하기 위한 본 발명에 따른 게이트 유전체막이 적용되는 반도체 소자의 제조 방법은 반도체 기판 상에 A1203박막을 증착하는 단계; 상기 A1203박막에 Si 플라즈마 도핑을 실시하는 단계; 상기 도핑된 A1203박막을 어닐링하여 A1203게이트 유전체막을 형성하는 단계; 및 상기 A1203게이트 유전체막 상에 게이트 전극을 형성하는 단계를 포함하여 이루어지는 것을 특징으로 한다.
도 1a 내지 도 1c는 본 발명의 실시예에 따른 게이트 유전체막이 적용되는 반도체 소자의 제조 방법을 설명하기 위한 소자의 단면도.
<도면의 주요 부분에 대한 부호의 설명>
11: 반도체 기판 12: 소자 분리막
13: A1203박막 130: A1203게이트 유전체막
14: 게이트 전극
이하, 본 발명을 첨부된 도면을 참조하여 상세히 설명하기로 한다.
도 1a 내지 도 1c는 본 발명의 실시예에 따른 게이트 유전체막이 적용되는 반도체 소자의 제조 방법을 설명하기 위한 소자의 단면도이다.
도 1a를 참조하면, 반도체 기판(11)에 소자 분리막(12)을 형성하여 액티브 영역(active region)을 정의(define)한다. 소자 분리막(12)이 형성된 반도체 기판(11) 상에 A1203박막(13)을 증착한다.
상기에서, 소자 분리막(12)은 LOCOS구조 또는 STI 구조로 형성할 수 있다. A1203박막(13)은 화학기상증착법(CVD)이나 단원자 증착법(ALD)으로 형성하며, 이때알루미늄 소오스 가스(Al source gas)로는 Al(CH4)3, Al(CH3)2Cl, AlCl3를 사용하고, 옥시겐 소오스 가스(O source gas)로는 H2O, O2를 사용하고, 증착 온도는 150 내지 700℃의 온도 범위로 한다.
한편, A1203박막(13) 형성전에 다음과 같은 공정을 추가할 수 있다.
첫째, A1203박막(13) 형성전에 트렌치 캐패시터(trench capacitor)구조를 형성할 수 있으며, 이때 캐패시터의 유전체막으로 산화막/질화막(oxide/nitride) 또는 Ta205, A1203를, BST, SBT 를 사용할 수 있다.
둘째, A1203박막(13) 형성전에 반도체 기판(11) 표면의 막질이 좋지않은 Si02막을 제거하기 위한 화학적 세정(chemical cleaning)을 위하여 피라나(pirahna), RCA 세정을 할 수 있다.
셋째, A1203박막(13) 형성 직전에 표면의 막질이 좋지않은 Si02막을 제거하기 위하여 A1203증착 챔버 내에서 수소 분위기 또는 UHV(<1E-8 Torr)에서 850 내지 950℃의 온도로 열 세정(thermal cleaning)을 행한다.
넷째, A1203박막(13) 형성전에 막질이 우수한 Si02막을 3 내지 20Å의 두께로 반도체 기판(11) 표면에 형성하여 반도체 기판(11)과 A1203박막(13)의 계면 특성(interfacial properties)을 향상시킬 수 있다. 막질이 우수한 SiO2막은반응로(furnace)에 의한 열 산화막으로 650 내지 900℃의 온도에서 습식 또는 건식방법으로 형성하거나, O2분위기 또는 N2O 분위기하의 상압 또는 0.1 내지 100Torr의 감압 상태에서 챔버의 온도를 700 내지 950℃로 한 급속 열 공정(rapid thermal process)으로 형성할 수 있다.
도 1b를 참조하면, A1203박막(13) 내의 금속성 공극(metallic vacancy)을 제거를 제거하기 위해 Si 플라즈마 도핑(plasma doping)을 실시하고, 어닐링(annealing)을 실시하여 A1203게이트 유전체막(130)을 형성한다.
상기에서, Si 플라즈마 도핑은 Si Rf coil, SiH4, Si2H6, SiCl4등의 실리콘 소오스 가스를 이온화 시켜 Si 이온을 A1203박막(13) 내에 도핑시키는데, 도핑 조건은 캐소드(cathod)에 음극 바이어스(negative bias)를 100 내지 2000 V 인가하여 100 eV 내지 2 kV의 에너지로 주입하고, 이온 유량(ion flux)을 1E14 내지 5E15 ions/cm2으로 조절하고, 전력을 0.1 내지 3 W/cm2를 사용하며, 가스 흐름비(gas flow rate)를 조절하여 이온화된 Si 도판트(dopant)가 A1203박막(13)에 주입되도록 한다. 한편, 플라즈마 도핑시에 부산물로 발생되는 H, Cl등은 양극 바이어스(positive bias)가 가해진 콜렉터(collector)로 제거하거나 고진공 펌퍼(high vacuum pump)를 이용하여 제거(evacuation)시킨다. 이러한 조건으로 A1203박막(13) 내에 Si 이온을 도핑 시킨 후, 300 내지 550℃의 온도에서 UV/O3를이용하여 3 내지 30분 처리하여 A1203박막(13) 내의 유기물을 제거하고, O2, N2, N2+H2, N2+D2, N2O 등의 분위기에서 650 내지 850℃의 온도로 10 내지 60분 어닐링한다. A1203박막(13)에 도핑된 Si 이온은 이러한 공정에 의해 SiO2로 변화되어 금속성 공극을 줄인다.
도 1c를 참조하면, A1203게이트 유전체막(130)상에 게이트 전극(14)을 형성하여 게이트 유전체 구조를 갖는 반도체 소자를 형성한다.
상기에서, 게이트 전극(14)은 폴리실리콘 구조, 텅스텐 폴리사이드(W-polycide), 티타늄 폴리사이드(Ti-polycide), 몰리브덴 폴리사이드(Mo-polycide), 코발트 폴리사이드(Co-polycide) 등과 같은 폴리사이드 구조, 텅스텐과 같은 금속 구조 등과 같이 기존에 사용하던 재료를 사용하여 형성할 수 있다.
한편, Si 플라즈마 도핑은 게이트 전극(14)을 형성하기 위한 물질을 100 내지 300Å의 두께로 증착한 상태에서 실시할 수도 있다
상기한 바와 같이, 본 발명은 반도체 소자의 게이트 유전체막으로 A1203를 적용함에 있어서, A1203내의 금속성 공극에 의한 옥사이드 트랩 챠지를 감소시키고, A1203박막과 반도체 기판과의 계면 특성을 향상시키기 위하여 A1203박막 증착 후, Si 플라즈마 도핑을 위하여 Si 소오스 가스를 이온화시키고 A1203박막의 표면에 도핑시킨다. 이후 후속 어닐링 공정을 통하여 유전 특성의 개선을 이룬다. 이와같이 플라즈마 도핑을 이용한 본 발명은 이온 주입(implantation) 방법에서 불가능한 투사 범위(projected range; Rp)를 50 내지 200Å의 범위로 조절할 수 있기 때문에 A1203박막 증착후 표면에 직접 도핑할 수 있어서 기판에 손상(damage)을 없앨 수 있다. 즉, Si 소오스 가스를 플라즈마 영역에서 이온화 시키고 웨이퍼에 100 eV 내지 2 keV의 음극 전압을 인가하여 증착(deposition)없이 얇은 투사 범위를 조절할 수 있다. 그리고 0.1 내지 2 % 내외의 도핑이 될수 있게 가스 흐름비와 플라즈마 밀도(density)를 조절한다. 한편, 플라즈마 도핑시에 발생되는 부산물들은 양극 바이어스가 가해진 콜렉터로 제거를 하거나 고진공 펌프를 이용하여 제거시켜 준다. 이후, 어닐링시에 기 도핑된 도판트의 산화를 가능케하여 알루미늄 공극을 최소화 시켜준다. 즉, 주입된 금속들은 알루미늄 공극 사이트(site)에 들어가서 후속 어닐링시 SiO2를 형성하게 된다. 따라서, 감소된 금속성 공극에 이한 네가티브 트랩 챠지와 계면 트랩 밀도를 줄여서 벌크 트랩 챠지 및 문턱 전압 등의 조절을 용이하게 할수 있게 한다.
상술한 바와 같이, 본 발명은 반도체 소자의 고유전율을 갖는 A1203게이트 유전체막을 소자에 적용하므로 차세대 게이트 형성시 누설 전류 특성이 우수한 고속 고밀도 소자를 구현할 수 있다.

Claims (7)

  1. 반도체 기판 상에 A1203박막을 증착하는 단계;
    상기 A1203박막에 Si 플라즈마 도핑을 실시하는 단계;
    상기 도핑된 A1203박막을 어닐링하여 A1203게이트 유전체막을 형성하는 단계; 및
    상기 A1203게이트 유전체막 상에 게이트 전극을 형성하는 단계를 포함하여 이루어지는 것을 특징으로 하는 반도체 소자의 제조 방법.
  2. 제 1 항에 있어서,
    상기 A1203박막은 화학기상증착법이나 단원자 증착법으로 Al(CH4)3, Al(CH3)2Cl, AlCl3중 어느 하나의 알루미늄 소오스 가스와, H2O, O2중 어느 하나의 옥시겐 소오스 가스를 사용하여, 150 내지 700℃의 증착 온도에서 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  3. 제 1 항에 있어서,
    상기 A1203박막을 증착하기 전에 상기 반도체 기판과 상기 A1203박막의 계면 특성을 향상시키기 위하여 상기 반도체 기판을 세정한 후, Si02막을 3 내지 20Å의 두께로 형성하는 단계를 추가 하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  4. 제 3 항에 있어서,
    상기 SiO2막은 650 내지 900℃의 온도에서 습식 또는 건식방법으로 형성하거나, O2분위기 또는 N2O 분위기하의 상압 또는 0.1 내지 100Torr의 감압 상태에서 챔버의 온도를 700 내지 950℃로 한 급속 열 공정으로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  5. 제 1 항에 있어서,
    상기 Si 플라즈마 도핑은 Si Rf coil, SiH4, Si2H6, SiCl4중 어느 하나의 실리콘 소오스 가스를 이용하며, 캐소드에 음극 바이어스를 100 내지 2000 V 인가하여 100 eV 내지 2 kV의 에너지로 주입하고, 이온 유량을 1E14 내지 5E15 ions/cm2으로 조절하고, 전력을 0.1 내지 3 W/cm2를 사용하며, 가스 흐름비를 조절하여 이온화된 Si 도판트가 상기 A1203박막에 주입되도록 하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  6. 제 1 항에 있어서,
    상기 어닐링은 300 내지 550℃의 온도에서 UV/O3를 이용하여 3 내지 30분 처리하여 상기 A1203박막 내의 유기물을 제거하고, O2, N2, N2+H2, N2+D2, N2O 중 어느 하나의 분위기에서 650 내지 850℃의 온도로 10 내지 60분 동안 실시하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  7. 제 1 항에 있어서,
    상기 게이트 전극은 폴리실리콘 구조, 텅스텐 폴리사이드, 티타늄 폴리사이드, 몰리브덴 폴리사이드, 코발트 폴리사이드 와과 같은 폴리사이드 구조, 텅스텐과 같은 금속 구조로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.
KR1019990065030A 1999-12-29 1999-12-29 게이트 유전체막이 적용되는 반도체 소자의 제조 방법 KR100320796B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1019990065030A KR100320796B1 (ko) 1999-12-29 1999-12-29 게이트 유전체막이 적용되는 반도체 소자의 제조 방법
US09/739,292 US6524918B2 (en) 1999-12-29 2000-12-19 Method for manufacturing a gate structure incorporating therein aluminum oxide as a gate dielectric

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990065030A KR100320796B1 (ko) 1999-12-29 1999-12-29 게이트 유전체막이 적용되는 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20010065161A KR20010065161A (ko) 2001-07-11
KR100320796B1 true KR100320796B1 (ko) 2002-01-17

Family

ID=19632236

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990065030A KR100320796B1 (ko) 1999-12-29 1999-12-29 게이트 유전체막이 적용되는 반도체 소자의 제조 방법

Country Status (2)

Country Link
US (1) US6524918B2 (ko)
KR (1) KR100320796B1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112543B2 (en) * 2001-01-04 2006-09-26 Micron Technology, Inc. Methods of forming assemblies comprising silicon-doped aluminum oxide
JP3944367B2 (ja) * 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6858865B2 (en) * 2001-02-23 2005-02-22 Micron Technology, Inc. Doped aluminum oxide dielectrics
JP4091265B2 (ja) * 2001-03-30 2008-05-28 株式会社東芝 半導体装置及びその製造方法
KR100755054B1 (ko) * 2001-12-14 2007-09-06 주식회사 하이닉스반도체 반도체 소자의 게이트전극 형성방법
KR100467369B1 (ko) * 2002-05-18 2005-01-24 주식회사 하이닉스반도체 수소배리어막 및 그를 구비한 반도체장치의 제조 방법
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6833575B2 (en) * 2002-08-29 2004-12-21 Micron Technology, Inc. Dopant barrier for doped glass in memory devices
DE10240106A1 (de) * 2002-08-30 2004-03-11 Infineon Technologies Ag Ausbildung einer elektrischen Verbindung zwischen Strkturen in einem Halbleitersubstrat
US7258895B2 (en) * 2003-08-06 2007-08-21 Micron Technology, Inc. Methods of forming material on a substrate, and a method of forming a field effect transistor gate oxide on a substrate
US7838871B2 (en) * 2004-03-24 2010-11-23 Samsung Mobile Display Co., Ltd. Organic field-effect transistor, flat panel display device including the same, and a method of manufacturing the organic field-effect transistor
US6933218B1 (en) * 2004-06-10 2005-08-23 Mosel Vitelic, Inc. Low temperature nitridation of amorphous high-K metal-oxide in inter-gates insulator stack
US7132337B2 (en) * 2004-12-20 2006-11-07 Infineon Technologies Ag Charge-trapping memory device and method of production
CN100408185C (zh) * 2006-08-08 2008-08-06 南开大学 可见光区高活性氮掺杂纳米二氧化钛催化剂的制备方法
US8288222B2 (en) 2009-10-20 2012-10-16 International Business Machines Corporation Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
US8441093B2 (en) 2011-04-15 2013-05-14 Excelitas Technologies Singapore Pte. Ltd. Shared membrane thermopile sensor array
US8778448B2 (en) * 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US8653516B1 (en) * 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US20140361407A1 (en) * 2013-06-05 2014-12-11 SCHMID Group Silicon material substrate doping method, structure and applications
RU2634326C2 (ru) * 2015-12-01 2017-10-25 Федеральное государственное бюджетное учреждение высшего образования "Воронежский государственный университет" (ФГБУ ВО ВГУ) Способ получения нанопрофилированной ультратонкой пленки Al2O3 на поверхности пористого кремния

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS497870B1 (ko) * 1969-06-06 1974-02-22
US3735482A (en) * 1971-06-16 1973-05-29 Rca Corp Method of making an mos transistor including a gate insulator layer of aluminum oxide and the article so produced
US3853496A (en) * 1973-01-02 1974-12-10 Gen Electric Method of making a metal insulator silicon field effect transistor (mis-fet) memory device and the product
US3900352A (en) * 1973-11-01 1975-08-19 Ibm Isolated fixed and variable threshold field effect transistor fabrication technique
GB1596184A (en) * 1976-11-27 1981-08-19 Fujitsu Ltd Method of manufacturing semiconductor devices
US4906328A (en) * 1987-07-16 1990-03-06 Texas Instruments Incorporated Method for wafer treating
JP2919254B2 (ja) * 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
JP3186066B2 (ja) * 1996-01-23 2001-07-11 フラウンホーファー ゲゼルシャフト ツア フォルデルンク デア アンゲヴァンテン フォルシュンク エー ファウ イオンの広範囲注入のためのイオン源
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP2000012796A (ja) * 1998-06-19 2000-01-14 Hitachi Ltd 半導体装置ならびにその製造方法および製造装置
US6159874A (en) * 1999-10-27 2000-12-12 Infineon Technologies North America Corp. Method of forming a hemispherical grained capacitor

Also Published As

Publication number Publication date
US6524918B2 (en) 2003-02-25
US20010024860A1 (en) 2001-09-27
KR20010065161A (ko) 2001-07-11

Similar Documents

Publication Publication Date Title
KR100320796B1 (ko) 게이트 유전체막이 적용되는 반도체 소자의 제조 방법
US7217659B2 (en) Process for producing materials for electronic device
KR100358056B1 (ko) 반도체 소자의 게이트 산화막 형성방법
US5821158A (en) Substrate surface treatment method capable of removing a spontaneous oxide film at a relatively low temperature
KR101058882B1 (ko) 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
US7906441B2 (en) System and method for mitigating oxide growth in a gate dielectric
US7033958B2 (en) Semiconductor device and process for producing the same
KR100282413B1 (ko) 아산화질소 가스를 이용한 박막 형성 방법
US20050221564A1 (en) System and method for mitigating oxide growth in a gate dielectric
US6380013B2 (en) Method for forming semiconductor device having epitaxial channel layer using laser treatment
KR20050106091A (ko) 낮은 eot 플라즈마 질화 게이트 절연체를 위한 2 단계포스트 질화 어닐링
JP2001257208A (ja) 半導体装置のゲート絶縁膜形成方法
US7622402B2 (en) Method for forming underlying insulation film
WO1987005152A1 (en) Fabrication of solid-state devices having thin dielectric layers
JP4083000B2 (ja) 絶縁膜の形成方法
KR100540246B1 (ko) 반도체 소자의 제조 방법
US7678633B2 (en) Method for forming substrates for MOS transistor components and its products
KR20020037337A (ko) 결정질 질화 실리콘 형성 방법
US20050287740A1 (en) System and method of forming a split-gate flash memory cell
WO2010147937A2 (en) Enhancing nand flash floating gate performance
KR100315043B1 (ko) 알루미늄산화막 재질의 게이트 절연막을 갖는 반도체소자의 제조방법
JP2007251204A (ja) 絶縁膜の形成方法
KR100349363B1 (ko) 고유전 게이트 절연막을 갖는 피모스 소자의 제조방법
JP2005079563A (ja) 電子デバイスの製造方法
KR100593131B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091222

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee