JP6622844B2 - 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法 - Google Patents

遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法 Download PDF

Info

Publication number
JP6622844B2
JP6622844B2 JP2018080657A JP2018080657A JP6622844B2 JP 6622844 B2 JP6622844 B2 JP 6622844B2 JP 2018080657 A JP2018080657 A JP 2018080657A JP 2018080657 A JP2018080657 A JP 2018080657A JP 6622844 B2 JP6622844 B2 JP 6622844B2
Authority
JP
Japan
Prior art keywords
hydrogen
substrate
remote plasma
processing chamber
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018080657A
Other languages
English (en)
Other versions
JP2018142715A (ja
Inventor
ホン パン,
ホン パン,
マシュー スコット ロジャーズ,
マシュー スコット ロジャーズ,
アグス エス. ジャンドラ,
アグス エス. ジャンドラ,
クリストファー エス. オルセン,
クリストファー エス. オルセン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018142715A publication Critical patent/JP2018142715A/ja
Application granted granted Critical
Publication of JP6622844B2 publication Critical patent/JP6622844B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明の実施形態は、概して、選択的にシリコンを酸化するための装置及び方法に関する。
シリコンの酸化は、集積回路の始まりまでさかのぼる、CMOS製造に対して基本的な技術である。シリコンの酸化のための最も一般的な方法は、O、HO/H、HO/O、O/H、又はそれらの組み合わせの雰囲気の中の熱処理をよりどころにしている。IC製造においてシリコン酸化処理を提供するために使用されるハードウェアは、バッチ熱炉及びRTPである。従来の酸化システム及び処理において、高温(摂氏700より高い)は、シリコン又はポリシリコンの上の酸化物成長のための活性化エネルギーを提供するために必要とされる。
進化した集積回路の製造は、酸化ケイ素の薄膜がシリコン又はポリシリコンの構造体の上で成長する、任意の数の処理ステップを必要とする。いくつかの用途に対して、タングステンを含む他の材料が酸化されないよう、酸化処理は選択的でなければならない。高温(摂氏700度より高い)におけるO、HO/H、又はHO/Oのいずれかの雰囲気の中での最近の熱処理は、この酸化処理を実行するために使用される。
高温は、処理を実用的にするような酸化物成長速度を得るために必要であり、かついくつかの場合においては酸化物の質のために必要とされる。しかしながら、次世代のデバイスの多くは、高温及び酸化環境の組み合わせに晒される場合、酸化物成長が必要とされる処理のための流れの中のポイントにおいて重大な損傷を経験するだろう。それ故、他の表面物質を酸化することなく低い温度でのシリコンの選択的な酸化を可能にする、方法及び装置に対する技術面での必要性が存在する。
本発明の実施形態は、概して、シリコンの選択的な酸化の方法に関する。一実施形態において、露出されているシリコンの表面の選択的な酸化のための装置は、第1の入口接続部及び第2の入口接続部を有する複数の壁を有する熱処理チャンバを含むことができ、ここで、複数の壁は、処理チャンバの範囲内の処理領域、処理チャンバの範囲内の基板支持体、処理チャンバの第1の入口接続部と流体接続している水素源、水素源と接続している熱源、処理チャンバの第2の入口接続部と流体接続している遠隔プラズマ源、及び遠隔プラズマ源と流体接続している酸素源を画定する。いくつかの実施形態において、流体接続は、不活性物質を含む管類を備えることができる。
別の実施形態において、非金属の表面の選択的な酸化のための方法は、基板を処理チャンバの中に位置決めすることであって、処理チャンバは摂氏800度より低い温度において維持される、位置決めすること、水素を処理チャンバの中へ流すこと、酸素を含む遠隔プラズマを発生させること、遠隔プラズマを処理チャンバの中へ流すことであって、遠隔プラズマは水素ガスと混合して活性化された処理ガスを生成する、流すこと、及び基板を活性化されたガスに晒すことを含むことができる。
別の実施形態において、非金属の表面の選択的な酸化のための方法は、基板を処理チャンバの中に位置決めすることであって、処理チャンバは摂氏800度より低い温度において維持される、位置決めすること、水素をホットワイヤ装置の近傍に流して活性化水素を発生させること、活性化水素を処理チャンバの中へ流すこと、酸素を含む遠隔プラズマを発生させること、処理チャンバの中で遠隔プラズマを水素ガスと混合して活性化された処理ガスを生成すること、基板を活性化されたガスに晒して望ましい量のシリコンを酸化することであって、活性化されたガスはシリコンの表面を酸化しかつ金属の表面を還元する、酸化すること、及び基板を冷却することを含むことができる。
本発明の上述の特徴が詳細に理解され得るやり方において、上で短く要約された本発明のより具体的な説明が、実施形態に言及することによって認識され、それらのうちのいくつかは、添付の図面の中において示される。これらの実施形態のいくつかを、添付の図面に示す。しかし、本発明は他の等しく有効な実施形態も許容しうるため、添付の図面は本発明の典型的な実施形態のみを示しており、したがって本発明の範囲を限定すると見なすべきではないことに留意されたい。
図1は、一実施形態による、遠隔プラズマ源を有する熱処理チャンバの概略的な表現である。 図2は、一実施形態による、選択的な酸化の方法のブロック図である。 図3A及び図3Bは、予備焼きなましを用いる場合及び用いない場合の両方において取得される選択的な酸化及び還元のグラフ表示である。 図3A及び図3Bは、予備焼きなましを用いる場合及び用いない場合の両方において取得される選択的酸化及び還元のグラフ表示である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すのに同一の参照符号を使用した。一実施形態で開示する要素は、具体的な記述がなくても、他の実施形態で有益に利用できることが企図されている。
本発明の実施形態は、概して、シリコンの選択的な酸化の方法に関する。実施形態は、より具体的には、シリコンの選択的な酸化、及び自然酸化物を除去するためのタングステンの選択的な還元に関する。
タングステンを覆うSiの選択的な酸化は、進化したCMOSデバイスにおけるSiO誘電体の上のタングステンゲート電極の周りのイオン注入又は反応性イオンエッチング(RIE)によってもたらされる酸化ケイ素の損傷を修繕するための、重要な処理である。本明細書の中において説明される実施形態は、遠隔プラズマ及び熱処理の組み合わせを使用することによって、急速熱処理(RTP)チャンバ又は熱炉の中の非金属を覆うシリコンを選択的に酸化するために採用されることができる。
理論によってしばられることなく、シリコンの酸化の間に誘発されるギブスの自由エネルギーの変化は、タングステンの酸化の間のそれよりも大きく、それ故、タングステンを覆うシリコンの選択的な酸化をもたらす。酸化処理の関連技術として、反応は、高い温度及び圧力において基板に送られる水蒸気又は水素及び酸素の燃焼を含む。高温は、タングステンのウィスカリング(whiskering)をもたらす可能性があり、それは、デバイスの性能に対して有害となり得るタングステンからの、長い結晶性の構造体の形成である。タングステンのウィスカリングを考慮すると、より低い温度での選択的な酸化の使用は、デバイスの全体的な性能に対してより好ましいものとなる。
本発明の実施形態は、以下の図面を参照してより明快に説明することができる。
図1は、一実施形態による、遠隔プラズマ源を有するRTPチャンバである。本発明の実施形態を用いて採用されるRTPチャンバは、カリフォルニア州のサンタクララにあるApplied Materials,Inc.から購入できる、Centura熱処理システム又はVantage RTPシステムなどの、基板の周りの雰囲気状態を維持している間に基板を加熱及び冷却することができる、任意のタイプのものであり得る。他の製造者からのチャンバを含む他の熱処理チャンバは、本発明の範囲から逸脱することなしに本発明の実施形態を用いて採用され得ることが想定される。
RTPチャンバは、基板支持体の中で形成される抵抗加熱要素などの抵抗加熱器から、加熱ランプなどから、又はレーザアニーリングシステムなどからの放射エネルギーからの、加熱を採用することができる。
処理チャンバ100は、概して、基板102が熱的に処理され得る処理領域110を画定するチャンバ本体101を備える。基板102は、処理領域110を画定する助けとなることができる基板支持体112の上に位置決めされる。エネルギー源103は、放射エネルギー105を処理領域110に向けるように構成される。センサ108は、チャンバ本体101の内装の中の構成要素の特性を測定するための位置に配置される。一実施形態において、センサ108は、基板102からの放射エネルギーを取得しかつ測定することによって、基板102の温度を測定するように構成される。センサ108は、システムコントローラ109に接続され得、システムコントローラ109は、センサ108からの測定値にしたがってエネルギー源103を調整するために使用され得る。
遠隔プラズマ源120は、処理チャンバ100に接続される。本発明の実施形態を用いて採用される遠隔プラズマ源は、マサチューセッツ州のアンドーバーにあるMKS Instrumentsから購入できる、RevolutionIII遠隔RFプラズマ源などの、少なくとも酸素を含む遠隔プラズマを生成するために使用されることができる任意のタイプのものであり得る。他の製造者からのチャンバを含む他の遠隔プラズマチャンバは、本発明の範囲から逸脱することなしに本発明の実施形態を用いて採用され得ることが想定される。
遠隔プラズマ源120は、第1の管類122を介して処理チャンバ100に流体結合され、第1の管類122は見通し可能な管類であり得る。酸素ガス源126はまた、誘導的に結合される遠隔プラズマ源などの、遠隔プラズマ源120に流体結合される。さらなる実施形態は、処理チャンバ100の処理領域110に送られることができる酸素/不活性ガスプラズマを生成するために、遠隔プラズマ源120と結合される不活性ガス源128を含むことができる。
第1の管類122は、遠隔プラズマ源120を処理チャンバ100に流体結合する。本明細書の中で使用される用語「見通し可能」は、ラジカル再結合又は管類の表面への吸着の可能性を最小化するために、遠隔プラズマ源120と処理チャンバ100との間の短い距離を伝達することを意味する。第1の管類122は、遠隔プラズマ源120によって提供される酸素ラジカルの吸着及び/又は再結合を妨げるために、サファイア、クオーツ、又は他のセラミック材料などの不活性物質を含むことができる。第1の管類122は、遠隔プラズマ源120の中で発生される酸素ラジカルの、処理チャンバ100の中への直接的かつ短い経路を提供するように構成されることができる。
水素ガス源124は、処理チャンバ100に接続される。水素ガス源124は、水素ガスが遠隔プラズマ源120から送られる酸素を含む遠隔プラズマによって活性化される、処理領域110へ水素ガスを送る。ホットワイヤ装置130は、随意に、水素ガス源124と処理チャンバ100との間に位置決めされることができる。ホットワイヤ装置130を有する実施形態において、水素ガスは、水素をチャンバの中へ送るのに先立って、水素を活性化するホットワイヤ装置130のホットフィラメントを覆って流されることができる。さらに、ホットワイヤ装置130は、見通し可能な管類などの、第2の管類132を使用して、処理チャンバに接続されることができる。第1の管類122の組成及びパラメータは、第2の管類132に使用されることができる。
温度制御は、酸化ケイ素の形成のために重要であり、一方、同時に、タングステンの上にウィスカー構造体は形成されない。そのようにして、本明細書の中において説明される実施形態の中で使用されるRTPチャンバは、チャンバの中の基板の急速な加熱及び冷却を用いて摂氏500度から摂氏1100度の間の範囲で温度を制御することができるべきである。そのような加熱及び冷却は、基板支持体の中の加熱要素及び/又は冷却ポートなどの、構造体を使用して実行され得る。
図2は、一実施形態による、選択的な酸化の方法のブロック図である。方法200は、熱処理チャンバの中に基板を位置決めすることを含むことができ、ここで、基板は、ステップ202において、摂氏800度より低い温度に維持される。摂氏800度より高い温度では、基板の表面上に堆積するタングステンの特徴の上にタングステンウィスカーが生じる。タングステンウィスカーが成長すると、それらは基板の不均質な表面を生成するのと同様に、隣接する特徴と接触し得る。さらに、摂氏約800度より低い温度を維持することは、低いサーマルバジェットを伴う用途に対して有益である。それ故、摂氏約800度より低い温度、及び好ましくは摂氏約700度以下における基板の管理は、半導体デバイスの全体的な機能性に対して有益となり得る。
方法200はさらに、ステップ204において、処理チャンバの中へ水素を流すことを含むことができる。シリコンは、ふつう非常に高い温度及び長い時間を必要とするO又はHOの中の従来型の熱酸化によって酸化することは難しい。それは、酸素プラズマによって発生する核種を含む、原子状酸素の中で酸化することが知られている。プラズマによって活性化するOを含む、O及びHの混合の使用はまた、シリコンの酸化を可能にし、一方でまた、シリコン並びにタングステンなどの他の材料の酸化の速度及び/又は相対速度を変化させる。
適切なサイズのチャンバの中の300ミリメートルの基板に対して、Hの流量は、約1slmから約10slm(約3.33sccm/cmから約33.33sccm/cm)の範囲内に含まれ得る。水素は、チャンバの中へ流れ、チャンバ全体の圧力を、1.5Torrなどの、1Torrから2Torrの間の圧力に維持することができる。基板の温度は、摂氏約600度まで低減される基板などのように、摂氏約550度から摂氏約650度の間に低減されることができる。いくつかの実施形態において、チャンバは、基板と同じ温度に維持されることができる。
さらなる実施形態は、予浸/予備焼きなましの処理を含み得る。一実施形態において、水素(H)は、その中に配置される基板を有する処理チャンバの中へ流される。その後、基板は、基板を摂氏約700度に維持する一方で、約450Torrから約550Torrの間のHの中に浸される。その後、基板は、60秒などの、約45秒から約75秒の間などの短い期間に対してH溶液の中に維持される。関連データは、Hの中の予浸は、同時にタングステンの表面上に形成される自然酸化物を還元する一方で、酸化ケイ素の形成という利益をもたらし得ることを示している。
またさらなる実施形態は、Hをチャンバの中へ流す前に、ホットワイヤを使用してHを活性化することを含み得る。この実施形態において、Hは、ホットフィラメントを覆って流される。フィラメントは、タングステン又はルテニウム‐タングステンなどの、耐食性の金属又は合金から成ることができる。ホットフィラメントは、プラズマを生成しないで水素を活性化することができ、それによって水素をプラズマとして使用する場合に見られることができる、より酷い悪影響のうちのいくつかを妨げることができる。活性化水素は、H及び電離水素を含み、処理チャンバの中へ流され、そこでは、活性化水素は、タングステンなどの露出金属の表面上に形成される自然酸化物から酸素を抽出するとともに、シリコンの上に酸素を堆積する。タングステンの還元は、70原子パーセントから95原子パーセントの範囲内に含まれる水素で見られた。
方法200はさらに、ステップ206において、酸素を含む遠隔プラズマを発生させることを含むことができる。遠隔プラズマとしてのHの生成に関する任意の数の問題が存在する。何よりも、Hは、プラズマに変換される場合、電源、及び陽極酸化アルミ、クオーツ、及びサファイアからなる構成要素などの他のチャンバ構成要素に到達することができる。この反応は、時期尚早な電源の故障をもたらし得る。さらに、Hを伴う反応は、金属水素化物などの堆積前駆体を生成することができ、それは基板上に堆積することができる。酸素だけの遠隔プラズマを生成することによって、活性化された酸素の核種は、基板に対する最小の損傷を伴って、かつチャンバの他の部分に接触する場合に水素プラズマの悪影響を有することなしに、生成されることができる。さらに、活性化酸素は、基板に対する電離した/ラジカル化した水素の影響を制限するために、基板の存在の中で水素を活性化するために使用されることができる。
酸素ガスは、300平方センチメートルの基板に対して約1slmから約5slmの範囲内に含まれる流量(約3.33sccm/cmから約16.67sccm/cm)で、遠隔プラズマ源の中へ流される。酸素ガスは、不活性ガスと混合し、酸素混合ガスを生成することができる。不活性ガスは、アルゴン、ヘリウム、又はクリプトンなどのガスを含むことができる。その後、酸素ガス又は混合ガスのいずれも、エネルギー源を使用してプラズマに変換されることができる。エネルギー源は、容量性、誘導性、又はマイクロ波のエネルギー源であり得る。
方法200はさらに、ステップ208において、遠隔プラズマを処理チャンバの中へ流すことを含むことができ、ここで、遠隔プラズマは水素ガスと混合し、活性化された処理ガスを生成することができる。プラズマは、プラズマとして見通し可能な管を通ってチャンバの中へ流れる前に急冷されることも、又はプラズマとして見通し可能な管を通ってチャンバの中へ流されることもできる。プラズマは、基板を覆う水素と混合して、H、O、及びOH分子を生成する。基板の温度は、摂氏600度などの、摂氏550度から摂氏650度の間に維持され、酸化ケイ素の形成という利益をもたらす。
一実施形態において、予備焼きなましステップの中でチャンバの中に流された水素は、活性化された処理ガスに対する水素ガスとして再使用され得る。さらなる実施形態は、活性化されたガスを生成するために水素の中へ流れるのに先立って、不活性ガス又は水素を用いて、チャンバを清掃することを含むことができる。これらのステップは、酸素プラズマの生成と同時に生じ得る。同様に、水素は、酸素プラズマが遠隔プラズマ源から流れる前に、又は酸素プラズマが基板を覆う酸素プラズマと混合するために同時に流される前に、チャンバの中へ流され得る。
方法200はさらに、ステップ210において、基板を活性化されたガスに晒して、シリコンを酸化しかつタングステンなどの露出金属を還元することを含むことができる。シリコンのプラズマ酸化は、概して、アレニウスの様な温度への依存性に従うが、プラズマの中で生成される酸素ラジカルの存在のおかげで、熱酸化よりもかなり低い活性化エネルギーを伴う。酸素の活性化、及びそれに引き続いて若しくはそれと同時に起きる水素の活性化のために、シリコンは酸化される。さらに、水素及び酸素の活性化された核種は、同じ条件の下にタングステンの還元をもたらす。
図3Aは、シリコン基板上の増加した酸化ケイ素の成長を示す実験データである。全てのデータは、300ミリメートルのベアシリコン基板から収集された。基板は、最初に摂氏700度まで加熱され、次に随意の予備焼きなましステップが行われた。随意の予備焼きなましステップは、530Torrの圧力においてHの中に60秒間だけ浸すことを含む。予備焼きなましステップの後に、温度は摂氏600度まで下げられ、かつ圧力は1.5Torrまで下げられた。選択的な酸化の部分の間、温度は摂氏600度に維持された。遠隔プラズマは、60秒の間だけ3000ワットに固定されたソース電力を用いて酸素から生み出され、かつその後チャンバに流されて、そこでは、遠隔プラズマは別々にチャンバの中に流されるHと混合された。チャンバの中のH及びOの全圧力は、1.5Torrであった。
グラフ表示は、80原子パーセントから100原子パーセントの間のH原子パーセントの範囲内に含まれる酸化ケイ素の厚さを示している。シリコン成長は、80原子パーセントから90原子パーセントの範囲内に含まれる水素(それぞれ、20原子パーセント及び10原子パーセントの酸素)ではより高かった。(本明細書の中においては示されない)さらなる類似の実験の中で示されるように、70原子パーセントから95原子パーセントの範囲内に含まれる水素(それぞれ、30原子パーセント及び5原子パーセントの酸素)は、シリコン上の最適な酸化物成長を提供する。酸化ケイ素の厚さは、一貫して、予備焼きなましされたシリコン基板が焼かれていないシリコン基板よりも高く、温度の増加を必要とせずに0.2Åから0.8Åの間の増加された厚さを提供している。予備焼きなましされた酸化ケイ素は、自然酸化物の存在の中の水素によって再分配される隔離された酸素からの酸化物成長であり得る、100パーセントのHのサンプルの中において著しくより高い。
図3Bは、自然タングステン酸化物の還元を示している実験データである。全てのデータは、CVD処理によって堆積したタングステンを伴う300平方ミリメートルのシリコン基板から収集された。基板は、最初に摂氏700度まで加熱され、次に随意の予備焼きなましステップが行われた。随意の予備焼きなましステップは、530Torrの圧力においてHの中に60秒間だけ浸すことを含む。予備焼きなましの後に、温度は摂氏600度まで下げられ、かつ圧力は1.5Torrまで下げられた。選択的な酸化の部分の間、温度は摂氏600度に維持された。遠隔プラズマは、60秒の間だけ3000ワットに固定されたソース電力を用いて酸素から生み出され、かつその後チャンバに流されて、そこでは、遠隔プラズマは別々にチャンバの中に流されるHと混合された。チャンバの中のH及びOの全圧力は、1.5Torrであった。
グラフ表示は、酸素が無い場合と比較して、水素及び酸素の両方の存在の中の自然タングステン酸化物の厚さにおける全般的な減少を示している。酸素濃度は、X‐線の光電子分光法(XPS)を使用して測定された。XPS測定は、堆積したタングステン(自然WOx)の中の38パーセントから39パーセントの範囲内に含まれる酸素パーセントを示している。自然WOx含有量における減少は、80原子パーセントから90原子パーセントの範囲に含まれる水素(それぞれ、20原子パーセント及び10原子パーセントの酸素)ではより高かった。(本明細書の中においては示されない)さらなる類似の実験の中で示されるように、70原子パーセントから95原子パーセントの範囲に含まれる水素(それぞれ、30原子パーセント及び5原子パーセントの酸素)は、自然WOxの減少のために使用されることができる。酸化ケイ素の厚さに関連して、自然WOxは、一貫して、焼かれていないタングステン被覆基板よりも予備焼きなましされたタングステン被覆基板の方がより低く、温度の増加を必要とせずに0.2パーセントから0.8パーセントの間の酸化物の還元を提供している。予備焼きなましされた自然WOxは、酸素処理を伴って相乗的にふるまう自然WOxの全体的な還元における予備焼きなましに対する利益を証明する、100パーセントHのサンプルの中でより低い。
ここまで議論してきたが、タングステンは、チャンバの間での移送の間などに、雰囲気と接触することによって酸化物を形成し得る。これらの酸化物は、基板上に形成されるタングステンの特徴の機能をおとしめかつ寿命を短くする。そのようにして、これらの欠点を取り除くことは重要である。それ故、自然WOx形成を低減する利益は、低温酸化ケイ素の生成に対して教示されたのと同じ処理及び条件を使用して取得されることができる。さらに、同じ処理によるタングステンの還元及びシリコンの酸化の組み合わせは、酸素を自然WOxの層から隔離してかつ露出したシリコンの上に酸化ケイ素を形成することによって、さらに相乗的になり得ることが信じられている。
80パーセントよりも高い水素の濃度を伴って、タングステン膜の中のXPS測定された酸素パーセントは、温度、予備焼きなましの条件、及びRP電力に応じる還元の結果として、31パーセントから33パーセントの範囲に下がった。それ故、選択的な酸化は、摂氏600度における少なくとも80原子パーセントのチャンバの中の水素濃度を用いて実現されることができると結論付けられる。本明細書の中において説明される実験パラメータは、上述されたのとは異なる、他の温度、圧力、流量、及びデバイスパラメータが本明細書の中で開示されるのと同じ利益を提供し得るように、限定的であることを企図しない。
本明細書の中において説明される実験は、自然WOxを還元する一方で露出されたシリコンを選択的に酸化する装置及び方法に関する。NANDフラッシュデバイス(flash devices)の形成の間などの、基板の処理の間、酸化ケイ素の層は、エッチングなどの処理によって損傷を受け得る。さらに、上述されたように、タングステンなどの堆積した金属は、デバイスの性能に対して有害であり得る自然酸化物を含む。上述された装置及び方法は、堆積した金属の上の自然酸化物を還元し、その一方で同時に、摂氏800度より高い温度を必要とせずに、露出されたシリコンから酸化ケイ素を形成することができる。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することもでき、本発明の範囲は、以下の特許請求の範囲によって決定される。
また、本願は以下に記載する態様を含む。
(態様1)
露出されたシリコンの表面の選択的な酸化のための装置であって:
第1の入口接続部及び第2の入口接続部を有する、複数の壁を有する熱処理チャンバにおいて、前記複数の壁は前記処理チャンバの範囲内の処理領域を画定する、熱処理チャンバ;
前記処理チャンバの範囲内の基板支持体;
前記処理チャンバの前記第1の入口接続部と流体接続する水素源;
前記水素源と接続する熱源;
前記処理チャンバの前記第2の入口接続部と流体接続する遠隔プラズマ源;及び
前記遠隔プラズマ源と流体接続する酸素源を備える、装置。
(態様2)
前記熱源は、前記水素源を前記処理チャンバの前記第1の入口接続部に接続する、態様1に記載の装置。
(態様3)
水素ガスが前記処理チャンバの中へ入る前にホットワイヤ装置によって活性化されるように、前記水素源と前記処理チャンバの間の流体連通内に形成される前記ホットワイヤ装置をさらに備える、態様1に記載の装置。
(態様4)
前記水素源との流体接続は、不活性物質を含む管類を備える、態様1に記載の装置。
(態様5)
非金属の表面の選択的な酸化のための方法であって:
基板を処理チャンバの中に位置決めすることにおいて、前記処理チャンバは摂氏800度より低い温度において維持される、位置決めすること;
水素を前記処理チャンバの中へ流すこと;
酸素を含む遠隔プラズマを発生させること;
前記遠隔プラズマを前記処理チャンバの中へ流すことにおいて、前記遠隔プラズマは水素ガスと混合して活性化された処理ガスを生成する、流すこと;及び
前記基板を前記活性化された処理ガスに晒すことを含む、方法。
(態様6)
水素は、酸素と比較して少なくとも70原子パーセントの水素を含む、態様5に記載の方法。
(態様7)
酸素を含む遠隔プラズマを発生させることに先立って、前記基板を水素の中に浸すことをさらに含む、態様5に記載の方法。
(態様8)
浸す処理は、少なくとも45秒の間において、摂氏600度と摂氏800度の間の温度で維持される、態様7に記載の方法。
(態様9)
水素ガスの流量は、約3.33sccm/cm から約33.33sccm/cm までである、態様7に記載の方法。
(態様10)
非金属の表面の選択的な酸化のための方法であって:
基板を処理チャンバの中に位置決めすることにおいて、前記処理チャンバは摂氏800度より低い温度において維持される、位置決めすること;
水素をホットワイヤ装置の近傍に流して活性化水素を発生させること;
前記活性化水素を前記処理チャンバの中へ流すこと;
酸素を含む遠隔プラズマを発生させること;
前記処理チャンバの中で前記遠隔プラズマを水素ガスと混合して活性化された処理ガスを生成すること;
前記基板を前記活性化された処理ガスに晒して望ましい量のシリコンを酸化することにおいて、前記活性化された処理ガスはシリコンの表面を酸化しかつ金属の表面を還元する、酸化すること;及び
前記基板を冷却することを含む、方法。
(態様11)
水素は、酸素と比較して少なくとも70原子パーセントの水素を含む、態様10に記載の方法。
(態様12)
水素は、最大で95原子パーセントの前記活性化された処理ガスを含む、態様11に記載の方法。
(態様13)
酸素を含む遠隔プラズマを発生させることに先立って、前記基板を水素の中に浸すことをさらに含む、態様10に記載の方法。
(態様14)
浸す処理は、少なくとも45秒の間において、摂氏600度と摂氏800度の間の温度で維持される、態様13に記載の方法。
(態様15)
水素ガスの流量は、約3.33sccm/cm から約33.33sccm/cm までである、態様10に記載の方法。

Claims (5)

  1. シリコンの表面の選択的な酸化のための方法であって:
    基板を処理チャンバの中に位置決めすることにおいて、前記処理チャンバは摂氏800度より低い温度において維持される、位置決めすること;
    水素をホットワイヤ装置の近傍に流して、活性化された水素ガスを発生させること;
    前記活性化された水素ガスを前記処理チャンバの中へ、第1の管類を通して流すことであって、前記第1の管類は石英材料を含み、前記水素は前記処理チャンバに流し込まれて、1Torrと2Torrとの間に処理チャンバ圧力を維持する、流すこと;
    酸素を含む遠隔プラズマを発生させること;
    前記遠隔プラズマを前記処理チャンバに第2の管類を通して流すことであって、前記第2の管類は、石英材料を含む、流すこと;
    前記処理チャンバ内で、前記遠隔プラズマを前記活性化された水素ガスと混合して活性化された処理ガスを生成すること;
    前記基板を前記活性化された処理ガスに晒して、所望の量のシリコンを酸化することであって、前記活性化された処理ガスはシリコン表面を酸化し、金属表面を還元する、晒すこと;及び
    前記基板を冷却すること、を含む、方法。
  2. 水素は、酸素と水素との合計と比較して、前記活性化された処理ガスの少なくとも70原子パーセントを含む、請求項に記載の方法。
  3. 水素は、前記活性化された処理ガスの最大で95原子パーセントを含む、請求項に記載の方法。
  4. 酸素を含む遠隔プラズマを発生させることに先立って、前記基板を水素の中に浸すことをさらに含む、請求項に記載の方法。
  5. 前記浸すことは、少なくとも45秒の間において、摂氏600度と摂氏800度の間の温度で維持される、請求項に記載の方法。
JP2018080657A 2012-08-01 2018-04-19 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法 Active JP6622844B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261678452P 2012-08-01 2012-08-01
US61/678,452 2012-08-01
US13/869,208 2013-04-24
US13/869,208 US20140034632A1 (en) 2012-08-01 2013-04-24 Apparatus and method for selective oxidation at lower temperature using remote plasma source

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015525464A Division JP6615607B2 (ja) 2012-08-01 2013-07-25 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019211351A Division JP6993395B2 (ja) 2012-08-01 2019-11-22 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法

Publications (2)

Publication Number Publication Date
JP2018142715A JP2018142715A (ja) 2018-09-13
JP6622844B2 true JP6622844B2 (ja) 2019-12-18

Family

ID=50024469

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015525464A Active JP6615607B2 (ja) 2012-08-01 2013-07-25 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法
JP2018080657A Active JP6622844B2 (ja) 2012-08-01 2018-04-19 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法
JP2019211351A Active JP6993395B2 (ja) 2012-08-01 2019-11-22 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015525464A Active JP6615607B2 (ja) 2012-08-01 2013-07-25 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019211351A Active JP6993395B2 (ja) 2012-08-01 2019-11-22 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法

Country Status (5)

Country Link
US (2) US20140034632A1 (ja)
JP (3) JP6615607B2 (ja)
KR (2) KR102189015B1 (ja)
CN (2) CN107492481B (ja)
WO (1) WO2014022184A1 (ja)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869017B2 (en) 2014-07-10 2018-01-16 Applied Materials, Inc. H2/O2 side inject to improve process uniformity for low temperature oxidation process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI688004B (zh) 2016-02-01 2020-03-11 美商瑪森科技公司 毫秒退火系統之預熱方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10020186B2 (en) * 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11428087B2 (en) 2016-10-27 2022-08-30 Halliburton Energy Services, Inc. Electrically controlled propellant materials for subterranean zonal isolation and diversion
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018128945A1 (en) 2017-01-03 2018-07-12 Applied Materials, Inc. Gas injection apparatus with heating channels
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102455355B1 (ko) * 2018-01-15 2022-10-18 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
TW202107528A (zh) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 氫氣輔助的大氣自由基氧化
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11776805B2 (en) * 2020-03-10 2023-10-03 Applied Materials, Inc. Selective oxidation and simplified pre-clean
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112838093A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 半导体器件的制造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3818374A (en) * 1973-03-07 1974-06-18 Trw Inc CHAIN REACTION HCl CHEMICAL LASER
JPS5827656B2 (ja) 1976-11-17 1983-06-10 株式会社東芝 プラズマcvd装置
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH05259153A (ja) * 1992-03-12 1993-10-08 Fujitsu Ltd シリコン酸化膜の製造方法と製造装置
JP2765622B2 (ja) * 1995-08-23 1998-06-18 日本電気株式会社 選択シリコンエピタキシャル膜の成長方法
JP4573921B2 (ja) * 1999-01-21 2010-11-04 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2000332245A (ja) * 1999-05-25 2000-11-30 Sony Corp 半導体装置の製造方法及びp形半導体素子の製造方法
JP2001338921A (ja) 2000-05-29 2001-12-07 Mitsubishi Heavy Ind Ltd 成膜方法及び成膜装置
KR100886997B1 (ko) * 2000-12-05 2009-03-04 도쿄엘렉트론가부시키가이샤 피처리체의 처리방법 및 처리장치
TW200416772A (en) 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
KR100482738B1 (ko) 2002-12-30 2005-04-14 주식회사 하이닉스반도체 계면 반응이 억제된 적층 게이트전극 및 그를 구비한반도체 소자의 제조 방법
JP2005277253A (ja) * 2004-03-26 2005-10-06 National Institute Of Advanced Industrial & Technology 半導体装置の作製方法及び水素処理装置
US7351663B1 (en) 2004-06-25 2008-04-01 Cypress Semiconductor Corporation Removing whisker defects
JP4305350B2 (ja) 2004-09-28 2009-07-29 コクヨ株式会社 紙葉類積層体の製造方法
WO2006082730A1 (ja) * 2005-02-01 2006-08-10 Tokyo Electron Limited 半導体装置の製造方法およびプラズマ酸化処理方法
KR101002945B1 (ko) * 2005-03-08 2010-12-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체장치의 제조 방법 및 기판처리장치
US8066894B2 (en) 2005-03-16 2011-11-29 Hitachi Kokusai Electric Inc. Substrate processing method and substrate processing apparatus
WO2007083651A1 (ja) 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
JP4983159B2 (ja) 2006-09-01 2012-07-25 東京エレクトロン株式会社 被処理体の酸化方法、酸化装置及び記憶媒体
WO2009114617A1 (en) 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
JP4392045B2 (ja) 2008-03-19 2009-12-24 国立大学法人広島大学 発光素子およびその製造方法
US8236706B2 (en) 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
US8435906B2 (en) 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
JP2010232240A (ja) * 2009-03-26 2010-10-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び半導体製造装置
JP5396180B2 (ja) 2009-07-27 2014-01-22 東京エレクトロン株式会社 選択酸化処理方法、選択酸化処理装置およびコンピュータ読み取り可能な記憶媒体
US20110189860A1 (en) 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
JP2012054475A (ja) * 2010-09-02 2012-03-15 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20140273525A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films

Also Published As

Publication number Publication date
CN107492481B (zh) 2021-08-13
US20160300712A1 (en) 2016-10-13
CN104541362B (zh) 2017-08-01
JP2015526903A (ja) 2015-09-10
KR20200004927A (ko) 2020-01-14
CN104541362A (zh) 2015-04-22
JP6993395B2 (ja) 2022-01-13
KR102065483B1 (ko) 2020-01-13
KR102189015B1 (ko) 2020-12-09
JP2020061555A (ja) 2020-04-16
JP2018142715A (ja) 2018-09-13
KR20150036768A (ko) 2015-04-07
US20140034632A1 (en) 2014-02-06
WO2014022184A1 (en) 2014-02-06
CN107492481A (zh) 2017-12-19
JP6615607B2 (ja) 2019-12-04
US10714333B2 (en) 2020-07-14

Similar Documents

Publication Publication Date Title
JP6622844B2 (ja) 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法
JP2015526903A5 (ja)
KR101750633B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5451018B2 (ja) 選択的酸化プロセスの酸化物成長速度の改良方法
TWI440089B (zh) 基板處理方法及基板處理裝置
WO2007020874A1 (ja) 薄膜形成方法および半導体デバイスの製造方法
JP2007194582A (ja) 高誘電体薄膜の改質方法及び半導体装置
JP2007019145A (ja) シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP4706260B2 (ja) 被処理体の酸化方法、酸化装置及び記憶媒体
JP2008066483A (ja) 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP2012209457A (ja) ゲルマニウム酸化膜の形成方法および電子デバイス用材料
JP2008140896A (ja) 熱処理方法、熱処理装置及び記憶媒体
WO2011104904A1 (ja) 加圧式ランプアニール装置、薄膜の製造方法及び加圧式ランプアニール装置の使用方法
TW201030176A (en) Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
US20140295675A1 (en) Silicon oxide film forming method and silicon oxide film forming apparatus
US20150267292A1 (en) Cleaning method of silicon oxide film forming apparatus, silicon oxide film forming method, and silicon oxide film forming apparatus
JP2010180434A (ja) 成膜方法及びプラズマ成膜装置
JP5560589B2 (ja) 成膜方法及びプラズマ成膜装置
JP2003282530A (ja) 基板処理装置、及び半導体装置の製造方法
CN112689888A (zh) 半导体装置的制造方法、基板处理装置及程序
JP5366157B2 (ja) 加圧式ランプアニール装置
JP2004343087A (ja) 層間絶縁膜の表面改質方法及び表面改質装置
JP2008251956A (ja) 酸化膜の形成方法及びその装置
JP6024962B2 (ja) 半導体装置製造方法
CN111902918A (zh) 半导体装置的制造方法、基板处理装置以及程序

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180518

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190925

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191023

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191122

R150 Certificate of patent or registration of utility model

Ref document number: 6622844

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250