JP6235581B2 - 成膜装置および方法 - Google Patents

成膜装置および方法 Download PDF

Info

Publication number
JP6235581B2
JP6235581B2 JP2015520778A JP2015520778A JP6235581B2 JP 6235581 B2 JP6235581 B2 JP 6235581B2 JP 2015520778 A JP2015520778 A JP 2015520778A JP 2015520778 A JP2015520778 A JP 2015520778A JP 6235581 B2 JP6235581 B2 JP 6235581B2
Authority
JP
Japan
Prior art keywords
reagent
plasma
group
inlet
group iiia
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015520778A
Other languages
English (en)
Other versions
JP2015527486A5 (ja
JP2015527486A (ja
Inventor
バリク、サティヤナーラーヤン
エペ フーケ、マリー−ピエール フランソワーズ ウィントルベール
エペ フーケ、マリー−ピエール フランソワーズ ウィントルベール
マン、イアン
Original Assignee
ガリウム エンタープライジズ ピーティーワイ リミテッド
ガリウム エンタープライジズ ピーティーワイ リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AU2012903023A external-priority patent/AU2012903023A0/en
Application filed by ガリウム エンタープライジズ ピーティーワイ リミテッド, ガリウム エンタープライジズ ピーティーワイ リミテッド filed Critical ガリウム エンタープライジズ ピーティーワイ リミテッド
Publication of JP2015527486A publication Critical patent/JP2015527486A/ja
Publication of JP2015527486A5 publication Critical patent/JP2015527486A5/ja
Application granted granted Critical
Publication of JP6235581B2 publication Critical patent/JP6235581B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/0632Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with gallium, indium or thallium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B1/00Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors
    • H01B1/06Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors mainly consisting of other non-metallic substances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Peptides Or Proteins (AREA)
  • Medicines Containing Antibodies Or Antigens For Use As Internal Diagnostic Agents (AREA)

Description

本発明は化学気相成長法(chemical vapour deposition)によって成膜するための装置および方法に関する。
窒化ガリウム(GaN)膜などの金属または半金属含有膜は、トランジスタ装置に対して発光ダイオード(LED)から紫外線検知器までの様々な装置において用途を有している。
これらの膜は、一般に、分子線エピタキシー(molecular beam epitaxy:MBE)、有機金属気相成長法(metal organic chemical vapour deposition:MOCVD)およびリモートプラズマ化学気相成長法(remote plasma enhanced chemical vapour deposition:RPECVDまたはRPCVD)を含む技術によって生成されてきた。RPECVDは、MOCVDにおいて用いられる温度よりかなり低い温度で高品質の膜を生成するために用いられてきており、それによりプロセスコストが低減され、温度に敏感な膜堆積に好ましい基材の使用が可能となる。
任意の化学気相成長(CVD)技術を用いた成膜中に対処しなければならない1つの課題は、膜が成長する表面の基材上にわたって均等かつ制御された試薬の分散を得ることによって、均一な薄膜成長を行うことである。この課題の解決策の少なくとも一部は、分散システムの設計によって対処され得る。例えば、RPECVDにおいて、基材にわたって均等な金属有機試薬の分散を得るためには、シャワーヘッドまたは格子の設計が用いられ、一方、活性窒素種のプラズマ流の均等な分散を改善するためには邪魔板(バッフル)が用いられ得る。1つのそのような邪魔板の設計は特許文献1に開示されており、前記開示は、余すところなく本願に援用される。前記開示では、プラズマ流を拡散およびフィルタリングするために「逆パゴダ」型の邪魔板が用いられている。
これらのアプローチの多くは単一膜の成長に焦点を当てており、そのため、例えば有機金属などの試薬、分散格子(distribution lattice)、および邪魔板を備えたプラズマチャネルは、一般的に基材位置の上に集中しており、それによって基材表面全体にわたって双方の材料の均一な分散を提供する。この型のチャンバ設計は、生産性を向上するために同一の成長チャンバ内で複数の膜を成長させることが望まれる場合には、あまり有効ではない。
複数の基材の使用は、成長速度が非常に遅いそれらの膜堆積技術において特に望ましい。例えば、原子層堆積(atomic layer deposition:ALD)は、化学的前駆体蒸気の逐次的なパルス化(sequential pulsing)に基づき、それにより1パルス当たり1原子層を得る有用な成長技術である。ALDの逐次的パルス化構成により、各試薬パルスは反応が完了するまで堆積表面と反応する。パージガスは、膜中に堆積している不純物を最小限にする目的で、各パルス後に過剰な試薬および反応副生成物を運び去るために用いられる。
ALDは、膜厚および組成に対する高度の制御によって、薄く均一な膜を生成することができるために興味深い。ALDの不都合の1つは、各全堆積サイクルにおいて単一層のみが堆積し得るので、有用な膜を成長させるために時間を要することである。各サイクルに必要とされる時間は、試薬解放弁の切り替え速度、並びに各半周期後にパージし、基材を適所に回転させるためにかかる時間によって制限される。これは0.5秒から数秒かかる各フルサイクルをもたらし、さらに生成が遅くなる一因となる。
さらに、パージングサイクルは完全に有効ではなく、多くの場合、窒素などの活性第2試薬を含有するプラズマのパルシング中に、一定量のトリメチルガリウム(TMG)などの有機金属試薬が成長チャンバ中に残存することを意味する。これは成長膜(growing film)に混入する炭素不純物を生じ、それによりその品質を低下させる。
成長膜中において、不純物として炭素および酸素の双方の混入の程度を最小限にすることは、CVD膜生成における主な課題である。膜の所望の化学組成を変化させることに加えて、これらの不純物は、形成中の層の格子整合を攪乱し、それにより膜内に欠陥を生じさせ、生成物の全体的な品質に悪影響を与える。
MOCVDアプローチは、成長中の薄膜への酸素混入を低下させることにおいて、特定の他のCVD技術より比較的好結果であったが、炭素混入のレベルは理想的ではない。より具体的には、MOCVDは、多くの場合、約1000℃〜1200℃の成長温度を必要とし、これにより高い設備費用をもたらし、また温度に敏感な膜堆積に好ましい基材の使用を排除する。
国際公開第WO/2010/091470号
よって該技術の不都合を最小限にすると同時に、ALDによって与えられる膜成長の制御における利点を提供することができるCVD装置および方法を提供することが望ましいであろう。特に、膜生成物中における不純物として炭素および酸素の混入のレベルの低減を可能にするCVD装置および方法、並びに好ましくは標準的なMOCVDアプローチにおいて用いられるそれよりも低い温度で実施され得るCVD装置および方法を提供することが有用であろう。
第1態様において、唯一の形態、または実際に最も広い形態である必要はないが、本発明は膜を形成するためのRPCVD装置に属し、前記装置は成長チャンバを備え、前記成長チャンバは、
(a)前記成長チャンバの第1堆積区域に位置して、前記区域に第VA族プラズマを導入する第VA族プラズマ注入口と、
(b)前記成長チャンバの第2堆積区域に位置して、前記区域に第IIIA族試薬を導入する第IIIA族試薬注入口と、
(c)アンモニア、ヒドラジン、ジメチルヒドラジンおよび水素プラズマからなる群より選択される追加試薬を、該追加試薬と第IIIA族試薬とが堆積前に混合するように、第2堆積区域に導入する、第IIIA族試薬注入口に隣接した追加試薬注入口と、
(d)1つ以上の基材を支持し、かつ各基材を第1堆積区域と第2堆積区域との間で回転させるのに適合した基材ホルダとを備える。
好ましくは、前記追加試薬注入口はアンモニア注入口である。
好ましくは、第VA族プラズマ注入口、第IIIA族試薬注入口、および追加試薬注入口は、前記1つ以上の基材の成長表面から約1cm〜約30cm、より好ましくは、約1〜約20cm、または1cm〜約10cmの距離を置いて成長チャンバに開口している。
好ましくは、前記成長チャンバの天井は、基材の位置の鉛直上方約30cm未満、より好ましくは約25cm未満、さらに好ましくは約20cm未満、さらにより好ましくは、約10cm未満に位置する。5cmおよび7.5cmの値が有用であり得、下端値として3cm〜4cmを有する。
特定の実施形態において、第VA族プラズマ注入口、第IIIA族試薬注入口、および追加試薬注入口のうちの少なくとも1つは、前記基材の成長表面の鉛直上方約1〜約30cm、1〜20cm、1〜10cm、好ましくは、4〜15cm、4〜10cm、4〜8cmに位置する成長チャンバの天井と同一平面上で終了する。
適切には、前記追加試薬注入口の開口は、1つ以上の基材に近接して成長チャンバに開口している。
前記追加試薬注入口は、成長チャンバの天井から下方に延びて1つ以上の基材の成長表面に近接して終了し得る。
一実施形態において、前記追加試薬注入口は、該注入口を通って進入する追加試薬の流れを可能にするのに適した高さにおいて、成長チャンバの側壁を介して成長チャンバ内に開口して、前記1つ以上の基材の成長表面の上を通過し、かつ前記成長表面に実質的に隣接する流路を得る。
好ましい実施形態において、第VA族プラズマ注入口と前記1つ以上の基材との間に直接的な流路が存在する。
適切には、第VA族プラズマ注入口と前記1つ以上の基材との間の直接的な流路は、第VA族プラズマを生成するためのプラズマ発生器と前記1つ以上の基材との間の妨げられない経路へ延びている。
一実施形態において、第VA族プラズマ注入口および第IIIA族試薬注入口は、それらの注入口が延びる成長チャンバの天井および/または側壁と同一平面上で終了する。
好ましくは、第1堆積区域は、第2堆積区域から実質的に隔離されている。
好ましくは、基材ホルダの回転は、前記1つ以上の基材を第1堆積区域から第2堆積区域へ逐次的に通過させる。
好ましくは、基材ホルダは、それによって該基材ホルダが中心枢軸の回りを回転し、その周囲に基材を保持するのにそれぞれ適合した複数の凹部を備えた回転テーブル設計のものである。
第VA族プラズマ注入口および第IIIA族試薬注入口は、成長チャンバ内において中央に位置してもよい。
第VA族プラズマ注入口および第IIIA族試薬注入口が成長チャンバ内において中央に位置する場合、前記注入口の一方または双方は、対応するプラズマまたは試薬を適当な第1堆積区域または第2堆積区域に案内するために流量調整装置を備えてもよい。
前記流量調整装置は、第VA族プラズマ注入口、または第IIIA族試薬注入口、または第1試薬注入口もしくは第2試薬注入口と連続した側板(shroud)などの案内部(directing portion)の中の1つ以上の試薬流路を遮断する流れ障壁であってもよい。
一実施形態において、前記装置は、プラズマがそれを実質的に通過するように、第VA族プラズマ注入口に関連した邪魔板をさらに備え得る。
前記邪魔板は、前記邪魔板の1つ以上の出口を遮断する流れ障壁であり得る流量調整装置を備える。
好ましくは、追加試薬注入口は、前記試薬が1つ以上の基材と接触する前に前記試薬の混合を促進するために、第IIIA族試薬注入口の開口に実質的に隣接して、成長チャンバに開口している。
適切には、第VA族プラズマ注入口は、活性種を含有する第VA族プラズマを生成するプラズマ発生器と流体が流れるように連通している。
好ましくは、前記第VA族プラズマは活性窒素種を含有する窒素プラズマである。
適切には、前記第IIIA族試薬は第IIIA族有機金属試薬である。
1つの特に好ましい実施形態において、前記第VA族プラズマ注入口および第IIIA族試薬注入口は、成長チャンバ内において周辺に位置する。
適切には、前記第VA族プラズマ注入口と第IIIA族試薬注入口とは、前記成長チャンバの実質的に両端に位置する。
前記成長チャンバは、1つ以上の基材に接触する直前に前記試薬の混合を促進するために、追加試薬注入口および/または第IIIA族試薬注入口に関連した1つ以上の構造を備え得る。
前記装置はさらに、前記成長チャンバに進入する前に追加試薬注入口および/または第IIIA族試薬注入口を加熱するために1つ以上の加熱装置を備え得る。
第2態様において、本発明はRPCVDによって基材上に薄膜を形成する方法に属し、前記方法は、
(a)第VA族プラズマを、第VA族プラズマ注入口を介して成長チャンバの第1堆積区域に導入する工程と、
(b)第IIIA族試薬を、第IIIA族試薬注入口を介して前記成長チャンバの第2堆積区域に導入する工程であって、第2堆積区域は第1堆積区域から実質的に隔離されている工程と、
(c)アンモニア、ヒドラジン、ジメチルヒドラジンおよび水素プラズマからなる群より選択される追加試薬を、追加試薬注入口を介して、前記追加試薬と第IIIA族試薬とが堆積前に混合するように、第2堆積区域に導入する工程と、
(d)前記基材を第1堆積区域と第2堆積区域との間で移動させる工程とを含み、
それにより基材上に薄膜を形成する。
好ましくは、前記追加試薬はアンモニアである。
適切には、前記追加試薬は、第IIIA族注入口の開口に実質的に隣接する第2堆積区域に導入される。
一実施形態において、前記追加試薬は成長チャンバの側壁を介して成長チャンバに導入される。
一実施形態において、前記追加試薬は、前記基材の成長表面の上を通過し、かつ前記成長表面に実質的に隣接するほぼ水平な流路を形成するように成長チャンバに導入される。
前記追加試薬および第IIIA族試薬は、好ましくは、前記成長チャンバに同時に導入される。
適切には、前記第IIIA族試薬は第IIIA族有機金属試薬である。
適切には、前記第IIIA族有機金属試薬は第IIIA族アルキル金属試薬(Group IIIA metal alkyl reagent)である。
好ましくは、前記第IIIA族アルキル金属試薬は、トリメチルガリウム、トリエチルガリウム、トリメチルインジウムおよびトリメチルアルミニウムからなる群より選択される。
前記方法は、前記試薬のうちの1つ以上を、その試薬が成長チャンバに進入する前に加熱する工程をさらに含み得る。
前記方法は、前記1つ以上の基材と隣接する有機金属試薬と追加試薬との混合を促進する工程をさらに含み得る。
適切には、前記第VA族プラズマ注入口はプラズマ発生器と流体が流れるように連通している。
好ましくは、前記第VA族プラズマは活性窒素種を含有する窒素プラズマである。
前記堆積区域の分離は、第VA族プラズマと第IIIA族試薬との混合を実質的に防止する。
前記方法は、関連する注入口を退出する際に第VA族プラズマまたは第IIIA族試薬のうちの1つ以上の流れを所望の堆積区域に案内するように、その流れを制御する工程をさらに含み得る。
前記方法は、前記温度を約400〜約1200℃、好ましくは約500〜約1000℃(約500℃、600℃、700℃、800℃、900℃または1000℃の温度を含む)、より好ましくは約500〜約850℃になるように制御する工程をさらに含み得る。
追加試薬ガス、好ましくはアンモニア、の存在と組み合わせて、プラズマ発生器の出力が薄膜中への炭素混入に対して影響を有することが分かった。そのため、前記方法はまた、プラズマ発生器の出力を、単一の供給源から約500W〜約5000Wとなるように制御する工程を含み得る。これは、約15〜約1500sccm(約2.54×10−2Pa・m/s〜約2.54Pa・m/s)、好ましくは20〜約200sccm(約3.38×10−2Pa・m/s〜約0.34Pa・m/s)、好ましくは約20〜約100sccm(約3.38×10−2Pa・m/s〜約0.17Pa・m/s)、より好ましくは約20〜約50sccm(約3.38×10−2Pa・m/s〜約8.45×10−2Pa・m/s)のアンモニア流と共に、2〜5トルの成長圧力および2000〜3000sccm(約3.38Pa・m/s〜約5.07Pa・m/s)の窒素プラズマ流と組み合わせられ得る。
前記成長圧力は、2〜5トル(2.67×10Pa〜6.67×10Pa)、2〜4トル(2.67×10Pa〜5.33×10Pa)、または約3トル(4.00×10Paあってもよい。
好ましくは、プラズマ発生器の出力は、約100ワット〜約3000ワットであり、商用ユニット(commercial unit)では100〜20000sccm(0.17Pa・m/s〜33.8Pa・m/s)に及ぶ1000〜3000sccm(1.69Pa・m/s〜5.07Pa・m/s)の窒素流量を伴う。好ましい有機金属試薬流量は1200〜2000sccm(2.03Pa・m/s〜3.38Pa・m/s)であり、これは商用ユニットにおいて100〜10000sccm(0.17Pa・m/s〜約16.9Pa・m/s)に及び得る。プラズマ発生器の出力については、約500〜5000W、500〜4000、500〜3000、500〜2000、500〜1000、500〜900W、500〜800W、600〜1000W、600〜900W、600〜800W、700〜1000W、700〜900W、および好ましくは約800Wの値が好ましく、それらの各々の値または範囲は、15〜1500sccm(2.54×10−2Pa・m/s〜2.54Pa・m/s)のうちのいずれか1つのアンモニア流量と独立して組み合わせられ得る。比較的小さな成長チャンバについては、約15、20、25、30、35、40、45および50sccmの値を含む、10〜75、10〜60、10〜50、10〜40、10〜30、15〜75、15〜60、15〜50、15〜40、15〜35、15〜30、20〜75、20〜60、20〜50、20〜40、20〜30のアンモニア流が炭素混入を低減するのに特に有用であるが、商業規模に移行する際には、より高い出力および複数のプラズマサイトが有用であると思われる。
第3態様において、本発明は、RPCVDによって、基材上に約5E+17原子/cm未満の炭素不純物含有量を有する薄膜を形成する方法に属し、前記方法は、
(a)第VA族プラズマを、第VA族プラズマ注入口を介して成長チャンバの第1堆積区域に導入する工程であって、第VA族プラズマ注入口と第1堆積区域内に位置する基材との間に直接的な流路が提供される、工程と、
(b)第IIIA族試薬を、第IIIA族試薬注入口を介して成長チャンバの第2堆積区域に導入する工程であって、第2堆積区域は第1堆積区域から実質的に隔離されている、工程と、
(c)アンモニア、ヒドラジン、ジメチルヒドラジンおよび水素プラズマからなる群より選択される追加試薬を、追加試薬注入口を介して、前記追加試薬と第IIIA族試薬とが堆積前に混合するように、第2堆積区域に導入する工程と、
(d)前記基材を第1堆積区域と第2堆積区域との間で移動させる工程とを含み、
それにより基材上に約5E+17原子/cm未満の炭素不純物含有量を有する薄膜を形成する。
好ましくは、前記炭素不純物含有量は、約3E+17原子/cm未満であり、さらにより好ましくは約2E+17原子/cm未満であり、さらにより好ましくは約1E+17原子/cm未満である。下限値は、そのような膜中の炭素不純物に対してほぼSIMS検出限界であると考えられ得る。
一実施形態において、前記薄膜はまた、約8Eの+17原子/cm未満、さらに好ましくは約6E+17原子/cm未満、より好ましくは約4E+17原子/cm未満、さらに好ましくは約2E+17原子/cm未満、あるいは約1E+17原子/cmまたはそれ未満の酸素不純物含有量を有する。下限値は、そのような膜中の酸素不純物に対してほぼSIMS検出限界であると考えられ得る。
第2態様に関連する上述の内容は、第3態様に対しても同様によく当てはまる。
第4態様において、本発明は第2態様または第3態様の方法によって製造された膜に属する。
第5態様において、本発明は半導体装置における第4態様の膜の使用に属する。
本発明のさらなる特徴は以下の詳細な説明から明らかになるであろう。
この明細書の全体にわたって、文脈上他の意味に解すべき場合を除き、「備える(comprise)」、「備える(comprises)」および「備える(comprising)」という用語は、明示された整数または整数群を含むことを示唆するが、他の整数または整数群を除外することは示唆しないことが理解されるであろう。
本発明が容易に理解され、実施され得るために、好ましい実施形態について、例として添付図面を参照して説明する。
基材上に金属窒化物膜を堆積するための典型的なRPCVD装置の概略図である。 逆パゴダ邪魔板および複数の基材を用いた場合の基材上に金属窒化物膜を堆積するための装置の一実施形態の斜視断面図である。 本発明に従った膜を形成するための装置の一実施形態の概略断面図である。 本発明に従った膜を形成するための装置の1つの好ましい実施形態の概略断面図である。 図4に表わされるような膜を形成するための装置の部分斜視断面図である。 本発明に従った膜を形成するための装置の非常に好ましい実施形態の概略断面図である。 図6に表わされるような膜を形成するための装置の部分斜視断面図である。 図7に示した装置に対して、膜を形成するための前記装置の別の実施形態の部分斜視断面図である。 図7に示した装置に対して、膜を形成するための前記装置の別の実施形態の部分斜視断面図である。 本発明の別の実施形態に従った、基材に膜を堆積するためのRPCVD装置の概略図である。 図10に示した装置に対して、基材に膜を堆積するための別のRPCVD装置の概略図である。 図10に示した装置に対して、基材に膜を堆積するためのさらに別のRPCVD装置の概略図である。 図10に示した装置に対して、基材に膜を堆積するためのさらに別のRPCVD装置の概略図である。 本発明のさらなる実施形態に従った、膜を形成するための装置の部分斜視断面図である。 変動条件下における膜に混入した炭素レベルのグラフ図である。 本発明の方法および装置によって生成された膜および下層のGaNテンプレートに見られる典型的な不純物のSIMSグラフ解析である。 様々なアンモニア流量を用いて、下層のGaNテンプレート上に本発明の方法および装置によって生成された膜に見られる不純物としての炭素のレベルのSIMSグラフ解析である。 様々なアンモニア流量を用いて、本発明の方法および装置によって下層のGaNテンプレート上に生成された膜に見られる不純物としての酸素のレベルのSIMSグラフ解析である。
本発明者らは、標準的なALD技術および他のCVDプロセスに比べて、膜成長速度および成長制御に対する改善をもたらし、重要なことに、試薬副反応による酸素および炭素に基づく膜不純物の驚くべきレベルの低減を提供する高品質の膜を生成するための特定のRPCVD装置およびプロセス条件を特定した。
本装置および方法によって用いられ得る試薬、従って形成され得る膜の性質は特に限定されない。本願で検討される実施形態は、一般に、試薬として窒素プラズマおよび有機金属(典型的にはトリメチルガリウムなどのガリウム含有有機金属)を用いるが、本発明の有用性はそのようには限定されない。第IIIA族(他の場合には現在のIUPAC体系において第13族として知られている)試薬は、ホウ素(B)、アルミニウム(Al)、ガリウム(Ga)、インジウム(In)またはタリウム(Tl)からなる群より選択される元素を含有し得る。第VA族(他の場合には現在のIUPAC体系において第15族として知られている)プラズマは、窒素(N)、リン(P)、ヒ素(As)、アンチモン(Sb)およびビスマス(Bi)からなる群より選択される第VA族元素を含有する任意の適当な試薬から生成され得る。
本願において用いられる「堆積区域」という用語は、1つ以上の試薬が導入される成長チャンバの個別の領域、区域、またはセグメントを指すために用いられる。第1堆積区域および第2堆積区域などの個々の堆積区域は、基材または成長膜が実際にその堆積区域に進入したときに、前記基材または成長膜が1つの堆積区域のみに導入された特定の試薬だけに実質的に曝露されるように、互いから隔離されている。前記堆積区域の分離または隔離は、空間的だけであってもよいし、または部分的もしくは完全な物理的障壁によって行われてもよい。
記載される実施形態では、用いられる試薬はトリメチルガリウム、窒素プラズマおよびアンモニアであるが、当業者には、本願に開示した原理を、必要な変更を加えて、他の試薬の組み合わせに適用できることが分かるであろう。
なんらかの特定の理論によって縛られるわけではないが、本発明者らは、RPCVD装置において生成された窒化ガリウム薄膜に混入した酸素および炭素のレベルにおける実験的に観測された劇的な低下は、主として、第IIIA族試薬と混合するために、かつ好ましくは基材に近接して、追加ガス状試薬、好ましくはアンモニアガスを供給することを含む条件の選択によるものであり、これはトリメチルガリウム:アンモニア ルイス酸:塩基付加物の形成に有利であると主張している。この付加物は分解して、メタンガスの放出とともに、窒化ガリウムを形成する。前記メタンガスは、成長膜中に、メチルラジカルが混入するのと同じ程には混入しない。
典型的な従来技術CVDアプローチ、特にMOCVDでは、高温が用いられるために、成長チャンバに注入されたトリメチルガリウムの分子は熱分解して、最終的にガリウム原子と3つのメチルラジカルとを生成する。前記ガリウムは、アンモニアまたは窒素プラズマであり得る窒素源と反応して、GaN膜を形成する。反応性メチルラジカルは、多くの場合、不純物として成長膜に混入することによって、歪みを増大し、膜生成物の全体的な品質を低下させる。アンモニアガスなどの追加水素含有試薬は、典型的にはプラズマ流とともに導入されるだけであり、本願においてもたらされる利点は、そのようなアプローチには見られない。
前記成長温度が代わりにトリメチルガリウムおよび追加試薬の熱分解点よりも低く維持され、追加試薬、好ましくはアンモニアが成長チャンバに導入される場合には、前記2つの成分は、提案式(CHGa:NHのルイス酸−塩基付加物を形成する。この付加物が反応して中間体(CHGa:NH+CHを形成することがさらに提唱されている。この経路のさらなる工程は、式[(CHGa:NHの付加物の形成をもたらし、その構造から3つのGaNの分子と6つのCHガスの分子とを最終的に形成する。メタンガスはメチルラジカルほど反応性でなく、成長チャンバの排気口を介して容易に除去される。
本発明者らは、気相、すなわち成長チャンバの上側領域および中心領域において起こる反応の程度を最小限にし、その代りに基材のごく近傍のみにおいて試薬の混合を最大限にすることによって、そのような付加物形成およびメチルラジカルに対するメタンの形成の選好を促進することができると主張している。これはアンモニアまたは他の追加試薬を第IIA族試薬とともに、前記試薬を基材の近隣のみにおいて、従って成長膜の成長表面の近隣のみにおいて存在させるか、または利用可能にする方法で、成長チャンバに導入することによって達成することができる。
図1は、基材上に第IIIA族窒化物膜を堆積するための典型的なRPCVD装置100の概略図を示している。装置100は、膜成長が行われる成長チャンバ105を備える。成長チャンバ105内には基材ホルダ115によって支持された基材110が位置し、基材ホルダ115は加熱器を備えるか、またはそれに接続されて、基材110が成長温度に調節されることを可能にし得る。基材110から少し離れて位置するプラズマ注入口120は、高周波発生器125において形成されたプラズマ130が成長チャンバ105内に進入することを可能にする。高周波発生器125は、窒素源135から窒素を受容する装置100の領域に対して作用する。通常は第IIIA族有機金属試薬源140である第IIIA族試薬供給源は有機金属を流路145に導入する。第IIIA族試薬供給源は、通例では、通常基材110から少し離れている、すなわち基材110に隣接していない。流路145は前記試薬を成長チャンバ105内に分散させるための有機金属インジェクタ150に送給する。
前記プラズマは有機金属インジェクタ150の真上の成長チャンバ105の領域に進入し、そのため、動作において、活性な中性窒素種を含むプラズマと有機金属試薬とが混合して反応し、窒化ガリウムなどの特定の金属窒化物を形成し、該金属窒化物は基材上に堆積されて膜を形成することが分かる。過剰な試薬、キャリヤガス、汚染物質などは廃棄物出口155を介して除去される。
炭素および酸素は不純物として前記膜に必然的に混入されるが、これらは別として、このアプローチは一般に単一の基材上における膜の形成には申し分ない。しかしながら、多数のそのような膜を同時に生成する能力を有することが望ましい場合も多い。従って、図2に示す装置のような装置が有用であり得る。
図2は、本質的には図1に示す簡単な表示に対応するが、邪魔板および複数の基材を使用した、基材上に金属窒化物膜を堆積させるための装置200の一実施形態の斜視断面図を示している。装置200は、外側ハウジング210から部分的に形成された成長チャンバ205を備える。
プラズマ発生器215は窒素入口220を介して窒素を受容し、形成された活性窒素プラズマは、再び基材から遠隔にあるプラズマ注入口225を通過し、示した実施形態では国際公開第WO/2010/091470号に記載されているような逆パゴダ型の邪魔板の形態をとる邪魔板230を介して、成長チャンバ205内に入る。前記プラズマは邪魔板230を通過し、その同心環状構造によって均一に分散される。次いで分散したプラズマ流は有機金属インジェクタ235上を通過し、そこで有機金属試薬が導入され、前記プラズマと混合する。次に、形成された金属窒化物は、基材ホルダ245上に位置する基材240のうちの1つ以上の上に堆積するであろう。基材ホルダ245はターンテーブル式のものであり得、従って堆積プロセスの全体にわたって高速で回転し得る。廃棄物は出口250を介して除去される。
当然のことながら、プラズマ注入口225の中心配置は、逆パゴダ邪魔板230などの分散システムを使用してさえ、プラズマ流の大半が基材ホルダ245の中心に集中することとなるであろう。上質な膜の成長には、試薬が適当な基材240の表面にわたって均一に分散されることが重要であり、この装置200における不備は基材ホルダ245の回転によっては解決されないであろう。このタイプの装置200はまた、典型的には、原子層堆積(atomic layer deposition:ALD)によって提供される膜の成長および厚さに対する制御という利点も提供しない。
図3は、本発明に従った膜を形成するための装置300の一実施形態の概略断面図を示している。装置300の実際の構成要素は図2に表示したものとほとんど同じであるが、邪魔板の1つの領域がプラズマ流に対して塞がれており、かつ第IIA族試薬注入口(本願では有機金属インジェクタと称される)のうちのいくつかのポートが試薬流に対して除去されているか、または閉鎖されているという2つの顕著な例外を有する。
図2に関して、図3に示す装置300は、プラズマ発生器315からの活性な中性窒素種を含むプラズマ流を受容するためにプラズマ注入口310を有する成長チャンバ305を備える。図3は概略図に過ぎないが、この実施形態におけるプラズマ注入口310は、従来技術の装置におけるよりも、基材の高さに対して物理的により近接して位置するであろう。前記プラズマは、任意の適当な設計のものであってもよいが、示した実施形態においては、図2に示すように逆パゴダ形を有する邪魔板320に流れ込むであろう。今回、前記邪魔板は、プラズマがその側面に沿って退出するのを妨げるように邪魔板320の片側のまわりに形成された流れ障壁325を備える。これは、流れ障壁325を支える邪魔板320の側面から成長チャンバ305の反対側面に向かって案内されるプラズマ流を生じるであろう。
次に、活性窒素種は有機金属試薬(例えばトリメチルガリウム)インジェクタ330の形態にある第IIIA族試薬インジェクタのそばを通る。図3において、内側が黒色である丸は、試薬流に対して開放された有機金属試薬インジェクタ330のポートまたは弁を表わしており、すなわち、それらは開放ポート335である。一方、内側が白色である(塗り潰されていない)丸は、試薬流に対して閉鎖されている有機金属試薬インジェクタ330のポートまたは弁を表わしており、すなわち、それらは閉鎖ポート340である。実際には、閉鎖していると表わされた有機金属試薬インジェクタ330の部分は、単に装置300内に存在しなくてもよく、よって開放ポート335を有する成長チャンバ305のそれらの領域のみが実際に有機金属試薬インジェクタ330構造を備えるであろう。
有機金属試薬インジェクタ330の下方には基材ホルダ350によって支持された複数の基材345が位置する。基材ホルダ350は、任意の所望数のウェーハ、例えば2〜20枚、好ましくは3〜10枚、より好ましくは5、6または7枚の個別基材を保持し得る。前記基材は所望される特定の膜の成長に適した結晶構造を有し得る。具体例として、基材345は、サファイア、SiC、シリカ、ソーダ石灰ガラス、ホウケイ酸ガラス、パイレックス(登録商標)、ケイ素、ガラス、合成サファイア、石英、酸化亜鉛、窒化物被覆基材、および自立バルク半導体基板(free standing bulk semiconductor substrates)および窒化物テンプレートを含む当該技術分野において周知であるような他の材料を含み得る。図3の矢印によって示すように、前記基材ホルダはプラズマ注入口310および有機金属試薬インジェクタ330に対して回転するように適合されており、それにより成長および堆積の均一性を制御する。廃棄物は廃棄物出口355を通って除去され得る。
図3に見られるように、プラズマ経路上における流れ障壁325の案内作用(directing action)と、それらの開放ポート335のみからの有機金属試薬の放出との複合効果は、有機金属試薬とプラズマ種との間における混合が最小限にされることを意味する。前記試薬注入口をチャンバの上面と同一平面上に位置するように配列することによって、チャンバ内のデッドゾーンの低減を行うことができ、試薬ガスの時期尚早の混合を最小限にする。したがって、装置300の設計は、プラズマおよび有機金属試薬が、互いから実質的に隔離されている第1堆積区域および第2堆積区域にそれぞれ放出される領域同志の物理的な分離をもたらす。当然のことながら、基材ホルダ350の回転は、基材345を第1堆積区域から第2堆積区域へ反復した連続的な方法で逐次的に通過させ、それにより前記プラズマおよび有機金属の試薬に交互に曝露させる。
各基材345の有機金属試薬および活性窒素種への逐次的な曝露は、ALDとほとんど同様な方法で、膜の後続層の形成をもたらすであろう。しかしながら、分離した堆積区域の形成は、第2試薬の導入の前に弁が切り替えられるのを待つことと、パージガスによる1つの試薬の除去との双方においてALDで経験される遅延が回避されることを意味する。代わりに、膜の成長表面は、基材ホルダ350を非常に高速で回転させることができるために、間に最小限の中断時間で各試薬に曝露される。これは、試料の成長に対する制御を維持しながら、膜の成長を非常に加速する。
基材ホルダ350は連続的に回転するように適合され得る。好ましくは、前記基材ホルダは10〜2000rpmの速度で回転することができる。好ましい回転速度は、25〜100rpm、より好ましくは約50rpmであり得る。当業者には、膜成長は、堆積チャンバ内における基材ホルダ350の回転速度と試薬流量との組み合わせによって制御されることが理解されるであろう。生成される膜の成長速度の全体的な増大を保証するためには、基材ホルダ350の回転速度が早いほど、より高い試薬の流量が必要となるであろう。
当然のことながら、1つのみのプラズマ注入口310と、関連する邪魔板320と、開放ポート335の1つの領域とが図3に示されているが、装置300は実際には複数の各構成要素を備えてもよい。例えば、成長チャンバ305を上から見おろす場合、円形の基材ホルダ350は四分円に分割されると想像され、プラズマ注入口および関連する邪魔板は、必要により、2つの隣接する、または対角線上で対向する四分円上に位置し、有機金属または他の試薬を放出するために開放される試薬インジェクタ330の2つの別個の領域について同一の関係を有する。
邪魔板320は、必須の特徴ではないが、比較的高い運動エネルギーおよび/またはポテンシャルエネルギーを有し得る活性窒素種によるエッチングを防止または低減するために、特定のプロセス条件下では好ましいことがあることも理解されるであろう。邪魔板320が図3に存在しない場合には、1つの隔離された堆積区域にプラズマ流を案内して収容するために、側板などの何らかの形態の構造がその場所に用いられ得る。邪魔板320が用いられる場合には、それを通る曲がった多数の経路を有するプレートのシャワーヘッド型(plate with tortured multiple pathways therethrough shower head design)などの当該技術分野において周知である示した逆パゴダ型以外の様々な形態をとり得る。いかなる代替型の邪魔板が最終的に用いられるとしても、その邪魔板は、プラズマが個別の堆積区域にのみに進入し、実質的に他の試薬と混合しないようにすることを保証するために、閉鎖経路または何らかの流れ障壁もしくは流れ案内手段のいずれかを有し得る。
装置300はさらに、成長チャンバおよび/または試薬注入口のうちの1つを加熱するために1つ以上の加熱器を備える。これは反応速度の増大を促進するため、成長膜の品質を支援するため、または基材への曝露の前に試薬のうちの1つ以上を分解するか、または他の場合には活性化するために有用であり得る。
上述したように、本装置および方法は、本願における使用に適した試薬の種類に特に限定されない。ALDでの使用に適したいかなる試薬も適当であり得る。窒素プラズマ、窒素/水素プラズマ、アンモニアプラズマおよび有機金属を含む広範囲の試薬クラスが適当であり得る。有機金属試薬が用いられる場合には、好ましい例としては、ドーパント源として様々な周知のMg、SiおよびZn前駆体を用いることに加えて、トリメチルガリウム、トリメチルインジウム、トリメチルアルミニウムのうちの1つ以上などの、しかしこれらに限定されないアルキル第IIIA族試薬が挙げられる。
図4は、本発明に従った膜を形成するための装置400の一実施形態の概略断面図を示している。大多数の構成要素は図3について記載した通りであるため、簡潔にしか言及しない。成長チャンバ405は、図3に関しては基材に比較的近接して位置しており、プラズマ発生器415と連続しているプラズマ注入口410を備える。成長チャンバ405に導入されたプラズマは、閉鎖ポート430(内側が白色の丸)および開放ポート435(内側が黒色の丸)を有する有機金属試薬インジェクタ425のそばを通る前に、示した実施形態では再び逆パゴダ型邪魔板420である邪魔板420を通過するであろう。再び、閉鎖ポート430を有する有機金属試薬インジェクタ425の領域は、単に存在しなくてもよい。いくつかの基材440は、成長チャンバ405に対して回転する基材ホルダ445上に配置され、不要な反応物および反応生成物は廃棄物出口450を介して排出される。
図3に示した実施形態と比較して、図4の重要な差異は、開放ポート435に対するプラズマ注入口410と、関連する邪魔板420との物理的な位置である。図3は、プラズマ注入口310の典型的な中心配置が、邪魔板320の境界の一部のまわりに形成された流れ障壁325の付加的な特徴によって処理された改良設計を示していたが、図4は、標準ALDセットアップと比べて成長チャンバ405の設計における根本的な変更を表わしている。
図4に表わされるように、成長チャンバ405の断面を見ると、プラズマ注入口410および邪魔板420は、チャンバ405の左手側に移動されて、個別の第1堆積区域を形成し、第1堆積区域は、試薬インジェクタ425の開放ポート435の下で、かつそれに隣接して形成された第2堆積区域とは実質的に分離している。
図4に示す概略図は、装置400の部分斜視断面図を示す図5において三次元で部分的に再現されている。明瞭にするため、ハウジングおよび高周波発生器などの装置500の構成要素の多くは、プラズマ注入口505、邪魔板510および有機金属試薬インジェクタ515の間の重要な関係に焦点を当てるために除去されている。
図5に示す実施形態において、図4に関しては、プラズマ注入口505および関連する邪魔板510は、第1基材520のほぼ真上に、すなわち隣接して存在するように周辺に位置し、よって第1基材520は活性窒素種を受容する第1堆積区域内にあると記述することができる。示した邪魔板510は、シャワーヘッド、または側板、または当分野において一般的な同様の分散システムと置き換えられてもよい。試薬インジェクタ515は、単にプラズマ注入口505に対してチャンバの反対側に、第2基材525のほぼ上方に配置され、有機金属試薬、例えばトリメチルガリウムおよび/またはトリメチルインジウムのみを受容する第2堆積区域内に位置する。よって、基材ホルダ530が回転すると、第1基材520は、第1堆積区域から出る前に第1試薬(この場合にはプラズマからの活性窒素種)と接触し、第2堆積区域に進入し、次いで第2試薬(この場合有機金属)と接触する。基材ホルダ530上に位置する第2基材525およびすべての基材は、一方の試薬から他方の試薬への逐次的な曝露の同様のサイクルを受けるであろう。これは、高度の制御で、エピタキシアル結晶層を逐次的に堆積させ、膜を形成することを可能にする。前記基材の試薬への曝露を基材ホルダ530の回転速度の制御によって交代させることは、ALDにおいて用いられる回転、パルシングおよびパージングの構成よりもより精密な制御を提供する。
図3〜図5において、プラズマおよび有機金属試薬注入口は基材の鉛直上方にあるものとして示されているが、これが必ずしもそうでないことが当業者には認識されるであろう。例えば、プラズマ注入口はハウジングの側面から成長チャンバ内にプラズマを注入してもよい。すなわち、プラズマは基材に平行に注入され、次いで、それらの基材上に堆積し始める。本願におけるプラズマ注入口または有機金属試薬注入口または追加ガス入口への言及は、プラズマまたはプラズマ励起反応物または有機金属試薬または追加ガスが適切な反応チャンバに進入する地点を呼ぶものである。
当然のことながら、図3〜図5に記載する実施形態において、試薬の2つの流れは顕著な程度には互いに接触しない。試薬注入口の物理的分離は、そのような混合によって形成され、成長膜に混入し得る酸素および炭素に基づく不純物の量が、標準的なALDまたは他のCVDアプローチと比較して低減されるように、試薬の混合を最小限にすることを支援する。
しかしながら、上記で検討したアプローチを用いてさえ、十分な量の酸素および炭素の不純物が形成された薄膜に依然として混入され、それによりその品質を低下させることが分かった。RPCVDアプローチの使用は、標準的なMOCVDアプローチよりも多くの点でより好都合であるが、ハイエンドMOCVD生成膜と比較して、生成した膜中に中程度(moderate levels)の酸素および炭素の不純物を必然的に生じるものとして、当該技術分野において一般に受け入れられる。非常に低い不純物の限度は、表1に述べるように、SIMS検出限界に少なくとも比較的近いものと見なすことができる。
しかしながら、本発明者らは、図6〜図9に示すような装置の使用によってこれらの不純物のレベルが著しく低減され得ることを見出した。
図6は本発明に従った膜を形成するための装置600の1つの好ましい実施形態の概略断面図を示しており、一方、図7は同一の装置600の部分斜視断面図である。RPCVD装置600は、プラズマ注入口610を備えた成長チャンバ605を有する。図6および図7より、プラズマ注入口610が基材の高さに物理的に隣接していることは明らかである。プラズマ注入口610はプラズマ発生器615(詳細は図示せず)と連続している。
成長チャンバ605はまた、第IIIA族試薬注入口と、より具体的には、本願で検討する実施形態では、有機金属試薬注入口620と、ヒドラジン入口、ジメチルヒドラジン入口または水素プラズマ注入口であってもよいが、好ましくはアンモニア注入口625である追加試薬注入口とを備える。図4について記載したように、プラズマ注入口610と有機金属試薬注入口620とは物理的に離れており、それぞれ第1堆積区域および第2堆積区域を形成しており、基材630は成長チャンバ605に対して回転する基材ホルダ635によって各区域内に配列されている。不要な反応物および反応生成物は、基材ホルダ635の周囲と成長チャンバ605の内壁との間に設けられた隙間645によってアクセスが提供される廃棄物出口640を介して排出される。しかしながら、アンモニア注入口625は有機金属試薬注入口620にすぐ隣接しており、そのためアンモニアは有機金属試薬と共に第2堆積区域に導入されるであろう。
示した実施形態では邪魔板、側板、または、同様の遮断または分散装置が適所にないので、成長チャンバ605に導入されたプラズマは、第1堆積区域に配置された基材630と直接接触するであろう。本発明者らは、比較的低いプラズマ発生器の出力(約500W〜2500W)および温度(約700℃〜800℃)の条件下でそのような装置を用いる場合、有意な程度のエッチングは観測されなかったことを見出した。約15〜約50sccm(約2.54×10−2Pa・m/s〜8.45×10−2Pa・m/s)のアンモニアの注入を伴うこの構成を使用することにより、膜生成物に混入する酸素および炭素のレベルの大幅な低減をもたらした。
さらなるプロセスの実施は、プラズマ発生器からのパワー出力(power output)を変化させて行われた。約800Wのパワー出力では、膜に混入した炭素レベルは、二次イオン質量分析(SIMS)の実際の検出限界に接近するレベルまで低減された。酸素レベルは、MOCVDを用いて観測されたレベルに低減され、ここで酸素は重要な不純物として効率よく除去される。RPCVD生成膜中の酸素および炭素レベルのそのような低減は、従来示されていない。
前記方法は、プラズマ発生器の出力を、単一の供給源から約500W〜約5000Wとなるように制御する工程も含み得ることが想定される。この範囲は、2〜3トル(2.67×10Pa〜4.00×10Pa)の成長圧力、2000〜3000sccm(約3.38Pa・m/s〜約5.07Pa・m/s)の窒素プラズマ流および約15〜1500sccm(2.54×10−2Pa・m/s〜2.54Pa・m/s)のアンモニア流について適当であろう。
好ましくは、前記プラズマ発生器の出力は、約100ワット〜約5000ワットであり、好ましくは約500〜3000Wであり、商用ユニットでは100〜20000sccm(0.17Pa・m/s〜33.8Pa・m/s)に及ぶ1000〜3000sccm(1.69Pa・m/s〜5.07Pa・m/s)の窒素流量を伴う。好ましい有機金属試薬流量は1200〜2000sccm(2.03Pa・m/s〜3.38Pa・m/s)であり、これは商用ユニットでは100〜10000sccm(0.17Pa・m/s〜約16.9Pa・m/s)に及び得る。約500〜1000W、500〜900W、500〜800W、600〜1000W、600〜900W、600〜800W、700〜1000W、700〜900W、および好ましくは約800Wのプラズマ発生器の出力値が好ましい。
そのような出力レベルは、15〜1500sccm(2.54×10−2Pa・m/s〜2.54Pa・m/s)のアンモニア注入(sccm)と独立して組み合わされてもよい。約15、20、25、30、35、40、45、50、55、60、65、70、75、80、85、90、95、100、120、140、160、180および200sccmの値を含む、約15〜200、好ましくは15〜150、15〜100、15〜75、15〜60、15〜50、15〜40、15〜30、20〜150、20〜100、20〜75、20〜60、20〜50、20〜40、20〜35、20〜30、25〜150、25〜100、25〜75、25〜60、25〜50、25〜40、25〜30sccmの範囲は、例えば7×2インチ(17.8×5.1cm)のオーダーの寸法の比較的小さな成長チャンバサイズに対して、炭素の混入を低下させるのに特に有用であることが分かった。しかしながら、商業規模に移行する際には、より高い出力および複数のプラズマサイトが有用であると思われる。例えば56×2インチ(142.2×5.1cm)のより大きな寸法の成長チャンバに対しては、200〜1300、200〜1100、200〜1000、200〜900、200〜800、200〜700、200〜600、200〜500、200〜400、300〜1500、300〜1300、300〜1100、300〜1000、300〜900、300〜800、300〜700、300〜600、300〜500、300〜400、400〜1500、400〜1300、400〜1100、400〜1000、400〜900、400〜800、400〜700、400〜600、400〜500、500〜1500、500〜1300、500〜1100、500〜1000、500〜900、500〜800、500〜700、500〜600、600〜1500、600〜1300、600〜1100、600〜1000、600〜900、600〜800、600〜700、700〜1500、700〜1300、700〜1100、700〜1000、700〜900、700〜800、800〜1500、800〜1300、800〜1100、800〜1000、800〜900、900〜1500、900〜1300、900〜1100、900〜1000、1000〜1500、1000〜1300、1000〜1100を含む、約200〜約1500sccm(約0.34Pa・m/s〜2.54Pa・m/s)のアンモニア噴射流が適当である。
当然のことながら、邪魔板510または類似の装置が用いられる場合には、プラズマ発生器からのより高い出力が許容され得るが、エッチングを最小限にするためにプラズマ注入口と基材との間に妨げられていない流路が存在する場合には、より低い値が好ましいであろう。
図8および図9は、図7に示した装置に対して、膜を形成するための装置の別の実施形態の部分斜視断面図を示している。図7〜図9の間において、同一の部品には同一の番号が付されており、最も重要な差異は、好ましくはアンモニアガス注入口である追加試薬注入口625の配置および/または設計にあることが理解されるであろう。図8はまた、基材ホルダ635が回転する軸線の中空中心部の中に廃棄物出口640が実際に備えられている装置600の実施形態を示している。
図8は、有機金属試薬注入口620の後方または同一直線上に配置されたアンモニア試薬注入口625を示している。アンモニア試薬注入口625の位置は、基材が基材ホルダ635上で回転すると、導入されたアンモニアが基材のほぼ中心に案内されるような位置であるので、これは好ましい実施形態である。これは、基材の表面へのアンモニアの良好な送達を保証するが、図7に示す実施形態では、アンモニア試薬注入口625および有機金属試薬注入口620の並んだ配置は、アンモニア試薬注入口625が、回転する基材の中心の鉛直真上(directly vertically above)から若干偏倚されていることを意味する。
図9は、アンモニア試薬注入口625が側面から成長チャンバ605に進入し、そのため実質的に鉛直な有機金属試薬注入口620に対して、ほぼ直角にあるという、若干異なる動作セットアップを表している。有機金属試薬注入口620は、アンモニア試薬注入口625の設計をより良好に示すために、図9では切断されている。有機金属試薬注入口620に接近すると、アンモニア試薬注入口625は、その末端部が垂直に、かつ図8に示すそれに類似した位置において終了するように屈曲部を有する。アンモニア試薬注入口625の水平な配置は使用時に動作上の利点を有し得る。
図7〜図9には示していないが、一実施形態において、プラズマ注入口610、有機金属試薬注入口620、およびアンモニア試薬注入口625はすべて、成長チャンバ605の天井と同一平面上で終了することが好ましいことがある。従って、前記注入口を基材に近接して維持するためには、前記天井は典型的なRPCVD装置におけるよりも低いレベルに位置するであろう。例えば、一実施形態において、前記天井は基材の位置の鉛直上方約30cm未満、好ましくは25cm未満、より好ましくは20cm未満、さらにより好ましくは10cm未満に位置し得る。約5cmおよび7.5cmの値が有用であり得、下端値として3cm〜4cmを有する。
以前に検討したように、本発明者らは、最終的な膜中における炭素および酸素不純物の最小限化には、基材の上方の気相で起こる反応を最小限にすることが重要であると主張している。代わりに、重要な膜形成反応を実際の基材表面上で、または実際の基材表面にできるだけ近接して起こるように促すことが望ましい。前記反応を基材表面上で起こるように案内することは、酸素不純物および炭素不純物の除去(scavenging)を向上し得る。
よって、試薬注入口が形成された成長チャンバ605の天井を低くし、かつ前記天井と同一平面上に前記試薬注入口の開口を有することにより、より迅速かつ効率的な試薬の基材表面への送達をもたらす。
デッドスポットの最小化と、特に基材に関するプラズマおよび試薬の流れの最適化とについては、既に議論した本発明の装置および方法におけるさらなる実施形態である図10〜図14において検討する。
図10は本発明の一実施形態に従った基材上に膜を堆積するためのRPCVD装置1000の概略図を示している。装置1000は、膜成長が起こる成長チャンバ1005を備える。排気口1010は過剰な試薬および廃棄物の除去のために成長チャンバ1005のより下方の範囲に提供される。
プラズマ発生器1015は、成長チャンバ1005の外部に位置し、窒素源(図示せず)から受容される窒素に作用する高周波発生器であってもよい。それによって生成された窒素プラズマは、成長チャンバ1005の天井と同一平面上で終了するプラズマ注入口1020において成長チャンバ1005に進入する。すなわち、プラズマ注入口1020は成長チャンバ1005の内部に有意な程度まで延在しない。プラズマ注入口1020は、必要により、プラズマの流路およびエネルギーを変更するために、邪魔板、側板、インペラなどに開放してもよい。これは不可欠な要素ではなく、そのような装置の必要性は、高周波発生器の出力に依存するであろう。適当な邪魔板は本出願人の先のPCT公報第WO 2010/091470号に記載されている通りであり得る。前記特許文献は参照により余すところなく本願に援用される。
有機金属試薬源1025は、好ましい実施形態中ではトリメチルガリウム(trimethylgallium:TMG)またはトリエチルガリウム(triethylgallium:TEG)である有機金属試薬を供給する。TMGまたはTEGは有機金属試薬注入口1030を介して成長チャンバ1005に進入する。有機金属試薬注入口1030は、示した実施形態中では、成長チャンバ1005の側壁に位置し、該側壁と同一平面上で終了する。すなわち、有機金属試薬注入口1030は成長チャンバ1005の内部に有意な程度まで延在しない。
水素含有追加試薬源1035は、好ましい実施形態ではアンモニアである追加試薬を供給する。前記アンモニアは追加試薬注入口1040を介して成長チャンバ1005に進入する。追加試薬注入口1040は、示した実施形態中では、有機金属試薬注入口1030の位置の下方において成長チャンバ1005の側壁に位置し、該側壁と同一平面上で終了する。すなわち、追加試薬注入口1040は成長チャンバ1005の内部に有意な程度まで延在しない。
いくつかの条件下では、追加試薬注入口1040は、基材1050の成長表面の上を通過し、前記成長表面に実質的に隣接する流路を有するために、前記入口を通って進入する追加試薬の流動を可能にするのに適した高さにおいて、成長チャンバ1005の側壁を介して成長チャンバ1005に進入することが望ましいことがある。また、排気口1010の配置は、追加試薬注入口1040に対して成長チャンバ1005の反対端に位置することが有用であり、これは基材1050の表面を通過する追加試薬の流路をさらに助長する。よって、追加試薬の注入の領域と、それとはほぼ反対側に排気口1010を設けることとにより、試薬が基材1050の成長表面および成長膜と持続的に接触する環境が作り出される。
すべてが天井(プラズマ注入口1020)または側壁(有機金属試薬注入口1030および追加試薬注入口1040)またはそれらの組み合わせのいずれかと同一平面上にある端部を有する3つのプラズマ/試薬注入口を提供することにより、成長チャンバ1005内に「デッドスポット」が存在しないようにされる。第IIIA族試薬注入口はチャンバ天井と同一平面上にあることが好ましい。前記追加試薬注入口は、入口(entry points)、例えばのぞき窓(view ports)を介して、アンモニアまたは他のガスを注入することがさらに好ましい。前記入口は、前記追加試薬注入口を物理的に包囲し、それによりこれらの2つの試薬を成長チャンバに一緒に導入させて、混合を促進させる。
成長チャンバ1005内に延びる注入口の存在は、基材ホルダ1045の回転により、試薬が集まって、渦のような運動であちこちに移動され得る隣接領域を成長チャンバ内に生じるであろう。デッドスポットは、膜の成長を支援しないガスの消耗または再循環が起こり得る成長堆積チャンバ内における不要な容積であると考えられる。これは、上記で概説した望ましい付加物形成以外の反応経路を促進し、メチルラジカル生成を伴うTMGまたはTEGの分解をもたらすであろう。
基材ホルダ1045は単一の基材1050を支持するのに適合していてもよいが、基材ホルダ1045は複数の基材1050を支持するのに適合した設計であることが好ましい。適切には、基材ホルダ1045は回転可能である。
図10に示した装置1000の設計は、プラズマ注入口1020と有機金属試薬注入口1030とが実質的に分離されており、それにより高エネルギープラズマ流によるTMG/TEGまたは他の有機金属の任意の潜在的な分解を回避する点において、1つの好ましい実施形態である。
以前に議論したように、成長チャンバ1005の天井高さは、好ましくは、標準的なRPCVDの設定に対して、より低くされている。適した高さについては以前に述べた。これは、基材1050に近接したプラズマ注入口および試薬注入口の配置により、望ましくない非付加物生成反応が起こり得る空間を最小にすることによって、それらの反応を最小限にすることを支援する。
図10には示していないが、装置1000は、試薬が成長チャンバ1005に進入する前に追加試薬注入口1040および/または有機金属試薬注入口1030を加熱するための1つ以上の加熱装置をさらに備え得る。前記加熱装置は、関連する供給源とその注入口との間に延びる輸送部材を包囲する外部加熱器の形態をとってもよい。配管のまわりに配置された簡単な加熱コイルまたは加熱テープは十分であり得る。特に基材1050の表面上に導入されるアンモニアの加熱は、基材1050の上方の重要な反応区域において、付加物の形成を期待して、前記アンモニアが活性化状態で導入されることを意味する。
簡潔にするために示さなかったが、成長チャンバ1005は、前記試薬が1つ以上の基材1050と接触する直前に、前記試薬の混合を促進するために、追加試薬注入口1040および/または有機金属試薬注入口1030と関連した1つ以上の構造を備え得る。特に、好ましくはアンモニアである導入された追加試薬の流路において、いくつかの乱流を生成することが望ましいこともある。この試薬は基材1050のすぐ上方に流路を生成するように導入されるので、これは、成長膜の成長表面と接触する前に付加物形成を促進するために、TMGまたはTEGとの迅速かつ効率的な混合を保証する。
前記構造自体は、邪魔板のような構造、翼、または流れ乱流を促進する任意の形状の形態をとってもよい。それらの構造は対応する試薬注入口と直接接触していてもよいし、または試薬が基材1050に近接して通過する前に前記構造を通って流れなければならないように、作動可能に対応する試薬注入口に関連付けられ得る。
図11は、図10に示した装置に対して、基材上に膜を堆積するためのRPCVD装置200の別の概略図を示している。類似部品には図10の装置1000に類似した番号付けを維持した。成長チャンバ2005、排気口2010、プラズマ発生器2015、プラズマ注入口2020、有機金属試薬源2025、有機金属試薬注入口2030、追加試薬(アンモニア)源2035、および追加試薬注入口2040はすべて、中心枢軸2055の回りを回転する基材ホルダ2045上に支持された基材2050に対して必要な試薬を供給するために存在することは明らかであろう。
図10および図11の実施形態の間における重要な差異は、図11では、プラズマ注入口2020、および関連するプラズマ発生器2015は、有機金属試薬注入口2030および追加試薬注入口2040が設けられている側壁により近接するように移動されていることである。TEGまたはTMG試薬とプラズマとがごく接近しているため、図10の実施形態よりは好ましくないが、装置2000のこの設計は、典型的なRPCVD装置で成長した膜に対して、成長膜の純度において著しい改善を提供し得る。TEGまたはTMGとアンモニアとが混合するように促される成長チャンバ205の領域上にプラズマを直接案内することには利点があり得る。装置2000における他のすべての構成要素および試薬は、図10の装置1000について記載した通りであり得る。
図12は図10に示した装置に対して、基材上に膜を堆積するためのRPCVD装置3000のさらに別の概略図を示している。繰り返しになるが、装置3000の構成要素は、図10および図11に関して検討したものとほぼ同じであり、そのため、ここでは繰り返さない。図12および図10の実施形態の間における重要な差異は、第1に、追加試薬源3035および関連する追加試薬注入口3040は、図10におけるように側壁ではなく、成長チャンバ3005の天井に位置することと、第2に、追加試薬(例えばアンモニア)を基材に近接した地点のみにおいて成長チャンバ3005内に導入することを保証するために、追加試薬注入口3040は延長部3060を備えることと、である。図には示していないが、温度調整手段は、成長表面と接触する前の試薬温度を制御するために、延長部3060にほぼ隣接して備えられ得る。
延長部3060を備えることにより、以前に検討したように、1つまたは2つの「デッドスポット」の潜在的な生成をもたらすが、これは、多くの従来技術のRPCVDアプローチと比較して、改善した膜生成物の形成を妨げるものではない。装置3000の設計はさらに、付加物形成およびメタンの生成がメチルラジカルとは対照的に前記膜にすぐ隣接して促進されるように、アンモニアまたは他の追加試薬が単に成長膜にごく近接して提供されることを保証する。
図13は、図10に示す装置に対して、基材上に膜を堆積させるためのRPCVD装置4000のさらに別の概略図をさらに示している。繰り返しになるが、図10のそれに対して類似の構成要素には同様の番号付けが用いられる。この実施形態において、プラズマ発生器4015および関連するプラズマ注入口4020は、追加試薬注入口4040が位置するのとは反対側の側壁に見られる。さらに、有機金属試薬注入口が単一の入口として表示されている代わりに、有機金属試薬注入口はインジェクタフレームワークの形態をとっている。前記フレームワークは、基材4050によって占有される成長チャンバ4005の全領域中に作用し得るが、好ましくは、前記インジェクタフレームワークは開放ポート4065および閉鎖ポート4070を有するであろう。閉鎖ポート4070は、高エネルギープラズマがプラズマ注入口4020を退出する際に、高エネルギープラズマへの曝露からTEGまたはTMG、または他の有機金属試薬を保護するために、プラズマ注入口4020に隣接したものであり得る。
図14は、本発明の一実施形態に従った、膜を形成するためのRPCVD装置5000の部分斜視断面図を示している。明瞭にするために、プラズマ発生器および試薬源などのすべての構成要素が示されているとは限らず、むしろ装置の重要な態様を伝えるために必要とされるそれらの構成要素のみが示されている。
成長チャンバ5005は、一部分において、チャンバ混合空間を最小にするために、相対的にみれば基材5035からあまり離れていない天井5010によって画定される。プラズマ注入口5015は、窒素プラズマなどのプラズマを送給するために、天井5010を介して成長チャンバ5005に開放している。有機金属試薬注入口は、インジェクタフレームワーク5020(ポート開口の詳細は図示せず)の形態をとり、一方、追加試薬注入口5025は、有機金属インジェクタフレームワーク5020の下方の地点において、かつ追加試薬、好ましくはアンモニア、の流路が基材ホルダ5030の移動によって回転している基材5035の成長表面の真上に生成されるような高さで、成長チャンバ5005の側壁を介して成長チャンバ5005に開放している。
図14の設計は、前図に関して検討したテーマにおけるさらなる別例を示しており、ほとんど同じ方法でその利点を達成する。図14に示す実施形態は、プラズマ注入口5015とTEGまたはTMGインジェクタフレームワーク5020とが離されており、またインジェクタフレームワーク5020自体が比較的小型であること以外に、最小限の構成要素が基材5035の上方の成長チャンバ5005内に位置しているという利点を有する。これらの手段により、メチルラジカル生成経路を促進し得るTEGまたはTMGの分解および「デッドスポット」の生成が最小限にされる。
当然のことながら、一実施形態において、プラズマが窒素プラズマであり、そのため窒素源として付加物形成に用いることができる場合、追加試薬は反応型の水素を供給するだけでよいことがある。これは窒素プラズマ発生器および注入口に加えて、水素プラズマ発生器および注入口の使用をもたらすであろう。これらの構成要素間におけるアーク放電(arcing)の可能性により、それらの構成要素をできるだけ物理的に分離することが望ましく、そのため一方は成長チャンバ5005の一端において天井に位置し、かつ他方は成長チャンバ5005の反対端において側壁に位置し得る。
本願における議論は基材ホルダの回転のものであったが、プラズマ注入口および試薬注入口が成長チャンバ内において回転している間に、基材ホルダおよび基材が静止したままであることが可能であり得ることが理解されるであろう。これは、回転可能な接続部が、各々が必要とされる場所のみに分散されることを保証するために回転速度と一致するように合わせられたパルスで双方が作動されるプラズマ注入口、有機金属試薬注入口および追加試薬注入口の各々と組み合う設計を必要とするであろう。そのような設計は、プラズマ注入口および試薬注入口が静止している間に回転する基材ホルダを有する図面に開示した設計に対して、動作においてより大きな課題を示し、従って、あまり好ましくないアプローチである。
前記装置はまた、薄膜成長の均一性のさらなる改善のために、基材ホルダに対して各基材の付加的な個々の回転を許容するように適合されていてもよい。
よって、上記に記載された様々な実施形態から、本願に記載する本発明の装置の構成要素は、標準RPCVDアプローチによって達成されるそれと比較して、成長膜中の炭素および/または酸素レベルの低減を依然として達成しながらも、多くの異なる方法で配列され得ることが理解されるであろう。しかしながら、記載する実施形態のすべては、追加試薬が第IIIA族試薬導入点および好ましくは基材に対して、真近ではないにしても近接して(in close if not immediate proximity to)成長チャンバに導入され、成長膜表面の真上において付加物の形成を促進するといった特徴を少なくとも共有する。このアプローチは、膜中への炭素および/または酸素混入のレベルを大幅に低下させることが判明した。炭素および/または酸素混入のレベルをさらに低下させるのを支援する、さらに一般的な特徴としては、デッドスポットを最小限にするために天井および/または側壁と同一平面上で終了する試薬注入口を提供すること、および基材表面上における追加試薬の流路を促すように排気口を配置することに加えて、低いチャンバ天井高さと、従って相応して低減されたチャンバ容積とが挙げられる。
本発明の1つの非常に好ましい実施形態において、第VA族プラズマ注入口、第IIIA族試薬注入口および追加試薬注入口のうちのいずれか1つ以上、最も好ましくは、すべては、以前に検討したように、成長チャンバの天井と同一平面上で終了する。しかしながら、第IIIA族試薬注入口および追加試薬注入口がチャンバ内に延在するものである場合には、一実施形態において、それらの注入口が基材の鉛直上方2〜9cmおよび3〜6cmおよび4〜5cmを含む約1〜約10cmとなるようにチャンバ内に延在すると有用である。
本願の態様のうちのいずれかに関連して記載される膜形成のプロセスはまた、膜がLEDおよび太陽電池などの装置において用いられるために必要であり得るドーピング工程を含んでいてもよい。好ましくは、ドーピング工程はp型のドーピング工程である。p型ドーピングについては、ドーパントはMgもしくはZn、または他の適当な元素であり得る。ジエチル亜鉛(DEZn)、ビス(シクロペンタジエニル)マグネシウム(Cp2Mg)などのこれらの元素を含有する適当な試薬は、p型ドーピングのために当該技術分野において既知のものから選択することができる。p型ドーピングは当該技術分野において特に難しいことが知られているが、成長膜中の炭素不純物を低減するために用いられるプロセス条件および装置特徴の現在のセットがより良好なp型ドーピングも可能にすることが分かった。p型ドーピングについて得られた値(ホール測定値)は、それぞれ、0.9Ω・cmの抵抗率、1.4E18cm−3のキャリア濃度について2.7cm/Vsの移動度である。n−型ドーピングについては、ドーパントはSiまたは酸素、または他の適当な元素であり得る。シラン、ジシラン、ジ−tert−ブチルシラン、酸素などのこれらの元素を含有する適当な試薬もn−型ドーピングに用いることができる。
さらに膜生成物においてなされる不純物の低減の程度にさらに寄与するために、多くの他の要因が制御され得ることは、前述の議論から認識されるであろう。
例えば、前記方法は、温度を約400〜約1200℃、好ましくは約500〜約1000℃(約500℃、600℃、700℃、800℃、900℃または1000℃の温度を含む)、より好ましくは約500〜約850℃となるように制御する工程をさらに含み得る。これは、典型的なMOCVDと比べて、また多くのRPCVDアプローチと比べても、比較的低い温度範囲である。より低い温度はTMG熱分解よりも付加物形成を促進し(favour)、そのため膜表面におけるメチルラジカル反応を低減する。
前記方法は、流れ攪乱装置を用いて、1つ以上の基材に隣接した有機金属試薬と追加試薬との混合を促進する工程をさらに含み得る。繰り返しになるが、前記混合工程は、膜/基材表面の近傍における付加物の即時形成を促進することである。
プラズマ発生器の出力が薄膜中への炭素混入に対して効果を有することが分かった。そのため、前記方法はまた、プラズマ発生器の出力を、単一の供給源から約400W〜約5000Wとなるように制御する工程を含み得る。好ましくは、前記プラズマ発生器の出力は、約500〜約3000W、500〜2750W、500〜2500W、500〜1000W、500〜900W、500〜800W、600〜1000W、600〜900W、600〜800W、700〜1000W、700〜900W、好ましくは約800Wであることが好ましい。約800Wの値が炭素混入を低下させるのに特に有用であることが分かったが、商業規模に移行する際には、約2500Wのようなより高い出力および複数のプラズマサイトが有用であると想定される。
特定の理論に拘束されることを望むものではないが、達成された驚くべき結果は以下の工程のうちの1つ以上の結果としてのものであり得ると主張される。第1に、アンモニアの注入が系に付加的な利用可能な窒素を提供し、これは、膜形成の試薬として作用し、また酸素および/または炭素に対する捕捉剤(scavenger)としても作用すると主張される。第2に、プラズマ発生器のパワー出力が増大された場合の膜への炭素混入の低減における改善は、窒素を選好して(in favour of)、炭素原子が能動的に除去されるためであり得ることが理論付けられる。第3に、以前に示唆したように、系から炭素を除去するための提案された機構は、アンモニアがトリメチルガリウムとの付加物、すなわち最初は(CHGa:NH、の形成を支援し、(CHGa:NHは後にメタン分子を放出する。前記メタンは、CHラジカルがそうであり得るほど容易には膜に混入しない。続く付加物の分解は、トリメチルガリウムの炭素がすべてメタンとして除去されるまでメタンをさらに放出し、GaNのみが残される。この付加物の形成および引き続くの分解は基材の表面で起こっていると考えられる。最後に、系から邪魔板を除去した時の炭素および酸素混入の改善した低減は、窒素を選好して、炭素および/または酸素を放出する成長膜と接触しているプラズマ発生器/プラズマチャンバから発せられるUV光からのエネルギーの結果である可能性がある。実際には、これらの機構のすべては得られた結果をもたらす上で少なくとも何らかの役割を果たし得る。
第3態様において、本発明は、RPCVDによって、基材上に約5E+17原子/cm未満の炭素含有量を有する薄膜を形成する方法に属し、前記方法は、
(a)第VA族プラズマを、第VA族プラズマ注入口を介して成長チャンバの第1堆積区域に導入する工程であって、第VA族プラズマ注入口と第1堆積区域内に位置する基材との間に直接的な流路が提供される、工程と、
(b)第IIIA族試薬を、第IIIA族試薬注入口を介して成長チャンバの第2堆積区域に導入する工程であって、第2堆積区域は第1堆積区域から実質的に隔離されている、工程と、
(c)アンモニア、ヒドラジン、ジメチルヒドラジンおよび水素プラズマからなる群より選択される追加試薬を、追加試薬注入口を介して、前記追加試薬と第IIIA族試薬とが堆積前に混合するように、第2堆積区域に導入する工程と、
(d)前記基材を第1堆積区域と第2堆積区域との間で移動させる工程とを含み、
それにより前記基材上に約5E+17原子/cm未満の炭素含有量を有する薄膜を形成する。
好ましくは、前記炭素不純物含有量は、約3E+17原子/cm未満であり、さらにより好ましくは約2E+17原子/cm未満であり、さらにより好ましくは1E+17原子/cm未満である。下限値は、そのような膜中の炭素不純物に対してほぼSIMS検出限界であると考えられ得る。
一実施形態において、前記薄膜はまた、約8E+17原子/cm未満、さらにより好ましくは約6E+17原子/cm未満、さらにより好ましくは約4E+17原子/cm未満、さらにより好ましくは約2E+17原子/cm未満、あるいは約1E+17原子/cmまたはそれ未満の酸素不純物含有量を有する。下限値は、そのような膜中の酸素不純物に対して、ほぼSIMS検出限界であると考えられ得る。
第2態様に関連する上述の内容は、第3態様に対して同様によく当てはまる。
第4態様において、本発明は、第2態様または第3態様の方法によって製造された膜に属する。そのような膜は、標準RPCVDアプローチによって製造された同様の膜と比較して明らかに低レベルの、それらの構造に混入した酸素および/または炭素を有するであろう。一実施形態において、本発明の方法によって生成された膜は約10E+16原子/cm未満の炭素含有量を有し得る。3E+16原子/cmの値が得られており、プロセス最適化によって1E+16原子/cm未満の値を得ることができると考えられる。
第5態様において、本発明は、半導体装置における第4態様の膜の使用に属する。
実施例は、本発明の装置を用いたプロセスの実施およびそれにより得られた結果をさらに詳細に示している。実施例において、第VA族プラズマとして窒素が用いられ、第IIIA族試薬としてトリメチルガリウムが用いられた。
邪魔板を有するプロセスの実施
プラズマ注入口の下に位置するステンレス鋼型シャワーヘッド邪魔板を用いた、本質的に図6および図7で述べたような装置を使用した。プラズマ発生器の出力は500W〜600Wであり、700℃の成長温度を用いた。膜はGaNテンプレート上で成長させた。初期制御の実施は、窒素プラズマと、有機金属試薬としてトリメチルガリウム(TMG)とを用いたが、アンモニアは注入しないで行なった。これは、図2の装置によって製造される場合に予想されるような、すなわち標準レベルの酸素不純物および炭素不純物を有する膜を生成した。
次に、第2の実施は、本質的に同様の条件下において、しかし第2堆積区域(有機金属試薬堆積区域)に15sccm(2.54×10−2Pa・m/s)のアンモニア流を注入して行なった。前記アンモニアは、堆積前に両者が一緒に混合されるように、TMGの注入と同時に注入した。これは、酸素および炭素の双方のレベルが大幅に低下した膜を生成した。具体的には、アンモニアを注入していない第1の実施と比較して、炭素レベルは、1立方センチメートル当たり約6E+20原子(原子/cc)から約3E+20原子/ccに減少し、一方、酸素のレベルは、約3E+20原子/ccから約1E+17原子/ccに減少した。
酸素レベルに対する1E+17原子/ccの桁は、実用的にはその濃度において問題となる不純物ではなくなるという点において非常に驚くべき結果を表しており、その結果はMOCVDを用いて観測されたものに匹敵する。アンモニアによって提供される付加的な水素は炭素不純物および酸素不純物を低減することにおいて何らかの効果をもたらすものと考えられ得るが、そのようなアンモニアの少量の注入が酸素不純物および炭素不純物においてそのような大きな低減をもたらすことができることは、半導体分野におけるこれまでの考えに基づいて、予測され得なかったであろう。
この実験をアンモニアの異なる流量を用いて繰り返した。膜中への炭素混入に関するこれらのプロセスの実施の結果を図15に示す。図15では、菱形の記号(「ショートジャーA RPCVD」と標識)は膜中の炭素濃度を示している。
邪魔板を有さないプロセスの実施
上述したものと同一の装置および条件を用いたが、シャワーヘッド邪魔板を除去して、いくつかの実施を行った。よって、プラズマ発生器、プラズマ注入口および基材の間の直接的な流路は、第1堆積区域内に確立された。
プラズマ注入口と基材との間の距離は20cm未満であり、プラズマエッチングは観測されなかった。これは、依然として窒素を活性化するのに充分なエネルギーを提供して用いられるプラズマ発生器からの比較的低い(500〜600W)出力に起因し得るものであると仮定される。
この実施の結果は、より小さな四角形の記号(「ショートジャーB(プラズマシャワーヘッドなし)RPCVD」と標識)として図15に示されている。シャワーヘッド邪魔板を用い、かつ同一量のアンモニアを使用したプロセスの実施と同一の条件下で、膜に混入した炭素レベルは大幅に低下したことが観察された。
さらなるプロセスの実施は、同一の条件(30sccm(5.07×10−2Pa・m/s)のアンモニア)下で、しかしプラズマ発生器の出力を800Wに増大して行われた。繰り返しになるが、驚いたことに、結果として生じた膜は、有意な程度にエッチングされなかった。しかしながら、より驚くべきことは、膜に混入した炭素レベルが約1.7E+17原子/ccであったということであった。この結果はより大きな四角形の記号の単一の点として図15上に示されている。上述し、図15にグラフで示した前記プロセスの実施のすべてによって生成された膜に見られる炭素レベルの結果を表2に示す。
当然のことながら、エッチングを生じることなく用いることができるプラズマ発生器の出力には上限があるであろう。この点に達しており、プラズマ発生器出力のさらなる増大が望ましい場合には、プラズマ注入口と基材との間に再び邪魔板が配置されてもよい。
プロセスを最適化することを目指したさらなる実施は、成長したGaN膜中、3E+16原子/ccの炭素レベル、および3E+16原子/ccの酸素のレベルをもたらした。炭素のSIMS検出限界は約1〜2E+16であり、酸素のSIMS検出限界は約1〜3E+16であることを考慮することにより、これらのレベルがいかに低いかの判断を助け得る。上記に示した最適な結果では、この膜は、炭素および酸素の検出限界に近づいている。SIMSは、10億分の1の範囲で存在する元素を検知することができる、利用可能な最も感度のよい表面分析技術の1つであり、この分野の分析に対して標準であると認められたものである。本装置および方法の使用によって達成された低レベルの炭素不純物および酸素不純物は、GaNテンプレートにおいて観測されたものに匹敵し、従来、RPCVDを用いて見られていない。
表2のデータはまた、アンモニアは、炭素および/または酸素を単に除去する(scavenging)のではなく、GaN形成および炭素除去に能動的に関与することを示している。
図16は、記載した本発明の方法および装置によって生成され、かつGaNテンプレート上で成長した膜に見られる典型的な不純物のSIMS図式解析である。RPCVDによって生成された膜は、通常、テンプレートにおいて得られる純度レベルには匹敵しないが、本例では、上述した最適条件下で形成された場合には、膜は本質的に同等の品質であることが理解される。
第1の0〜0.5μmの深さプロファイル(x軸線上に図示)は、本装置および方法によって生成された膜を表わし、一方、0.5〜2.7μmの成分はMOCVDプロセスによって生成された下層GaNテンプレートを表わしている。様々な不純物、特に炭素、酸素のレベルが類似していることは明らかである。トレースにおいて観測された波形の尖頭(spikes)は、層間の界面または成長状態の変化を表しており、不純物レベルの増大ではない。
トリエチルガリウム実験
さらなる一連の実験は、第IIIA族試薬としてトリエチルガリウム(TEG)を用いて、異なるアンモニア注入速度を検討して実施した。これらの実験に用いたプロセス条件を以下で表3に述べる。また、注目すべきことは、プラズマ注入口、TEG入口およびアンモニア注入口は、ガスの再循環、すなわちデッドスポットの影響を低減するために、すべて成長チャンバの天井と同一平面上で終了していたということである。前記天井は基材の上方約5.0〜7.5cmの高さで固定され、基材ホルダの回転速度は1200rpmであった。
表3に述べた実験の結果は、成長した膜中の炭素および酸素の不純物レベルに関して、炭素については図17、酸素については図18のSIMSデータにグラフで示されている。成長した膜は、約1μmの厚さであり、GaN MOCVDテンプレートの上面に成長していた。成長した膜の厚さは、SIMSデータはデータプロット上のX軸の左側から1μm深さの地点まで観察するだけでよいことを意味する。この領域における波形の尖頭は膜とテンプレートとの間の界面による。
第1に、アンモニアを増量した注入は、アンモニアを注入しないベースラインレベル(実施番号1386番)と比較した場合、成長した膜中の不純物としての炭素レベルにおいて非常に有意な減少を生じたことが図17より明らかである。30sccm(5.07×10−2Pa・m/s)のアンモニアの注入は、炭素不純物のレベルを1017原子/cm未満に低下させ、一方、100sccm(0.17Pa・m/s)のアンモニアの注入では、炭素不純物のレベルは、実質的には、MOCVD生成GaNテンプレートにおけるレベルにほぼ対応するように、すなわちGaN膜のRPCVD成長を用いる場合には従来見られない結果に、低減されたように見える。
図18は、成長した膜中の酸素不純物レベルが、すべての条件下において、MOCVDで成長したGaNテンプレートで観測されたものと同等であったことを示している。
前述のすべてから、RPCVD構成における分離した堆積区域の使用がGaN膜などの膜への不純物の混入を低減することに有用であり得るが、これらの不純物は顕著な量で生成した膜中に依然として見られるであろうことが認識されるであろう。プラズマ注入口および/または有機金属試薬注入口が、基材の成長表面から約1〜約30cm鉛直上方に位置する成長チャンバ構成の使用。しかしながら、第2堆積区域中に比較的小量のアンモニアを有機金属試薬と同時に導入することにより、膜中の酸素および特に炭素のレベルを劇的に低下させることが示された。同様の条件下だがプラズマ発生器および/またはプラズマ注入口と基材との間に流れを案内するために任意の障害物を除去することは、特に炭素の膜中への混入の非常に驚くべき低減をもたらす。さらなるレベルの制御として、プラズマ発生器出力のパワーの増大は、膜中に見られる炭素不純物のレベルをさらに低下させることができる。
明細書の全体にわたって、本発明をいずれか1つの実施形態または特徴の特定の集合に限定することなく、本発明の好ましい実施形態を説明することを目標としてきた。従って、当業者には、本開示を考慮して、例示した特定の実施形態に対して、本発明の範囲から逸脱することなく、様々な修正および変更をなすことができることが認識されるだろう。

Claims (31)

  1. 第IIIA−VA族膜を形成するためのRPCVD装置であって、前記装置は成長チャンバを備え、前記成長チャンバは、
    (a)前記成長チャンバの第1堆積区域に位置して、前記第1堆積区域に第VA族プラズマを導入する第VA族プラズマ注入口と、
    (b)前記成長チャンバの第2堆積区域に位置して、前記第2堆積区域に第IIIA族試薬を導入する第IIIA族試薬注入口と、
    (c)アンモニア、ヒドラジン、ジメチルヒドラジンおよび水素プラズマからなる群より選択される追加試薬を、該追加試薬と第IIIA族試薬とが堆積前に混合するように、第2堆積区域に導入する、第IIIA族試薬注入口に隣接した追加試薬注入口と、
    (d)1つ以上の基材を支持し、かつ各基材を第1堆積区域と第2堆積区域との間で回転させるのに適合した基材ホルダであって、前記第VA族プラズマおよび前記第IIIA族試薬に前記基材をさらして第IIIA−VA族膜を形成させる基材ホルダとを備える、装置。
  2. 前記追加試薬注入口はアンモニア注入口である、請求項1に記載の装置。
  3. 第1堆積区域は、第2堆積区域から実質的に隔離されている、請求項1または2に記載の装置。
  4. 第VA族プラズマ注入口および第IIIA族試薬注入口のうちの少なくとも一方は、1つ以上の基材の成長表面から1cm〜30cmの距離をおいて成長チャンバに開放している、請求項1乃至3のいずれか1項に記載の装置。
  5. 第VA族プラズマ注入口または第IIIA族試薬注入口の少なくとも一方は、前記1つ以上の基材の成長表面から鉛直上方1〜30cmに位置する成長チャンバの天井と同一平面上において終了する、請求項1に記載の装置。
  6. 前記追加試薬注入口は、前記第IIIA族試薬および追加試薬が前記1つ以上の基材と接触する前に前記第IIIA族試薬および前記追加試薬の混合を促進するために、第IIIA族試薬注入口の開口に実質的に隣接して前記成長チャンバに開放している、請求項1乃至5のいずれか1項に記載の装置。
  7. 前記成長チャンバは、前記第IIIA族試薬および追加試薬が前記1つ以上の基材と接触する前に前記第IIIA族試薬および前記追加試薬の混合を促進するために、前記追加試薬注入口および第IIIA族試薬注入口のうちの少なくとも一方と接触しているか、或いは作動可能に関連した1つ以上の構造であって、流れ乱流を促進する1つ以上の構造を備える、請求項1乃至6のいずれか1項に記載の装置。
  8. 第VA族プラズマ注入口と前記1つ以上の基材との間には直接的な流路が存在する、請求項1乃至7のいずれか1項に記載の装置。
  9. 前記追加試薬注入口の開口は、前記1つ以上の基材に隣接して成長チャンバに開口している、請求項1に記載の装置。
  10. 前記追加試薬注入口は、前記成長チャンバの天井から前記1つ以上の基材の成長表面に隣接した位置にある端部まで下方に延びる、請求項9に記載の装置。
  11. 第VA族プラズマ注入口および第IIIA族試薬注入口は、前記成長チャンバ内において中央に位置する、請求項1乃至10のいずれか1項に記載の装置。
  12. 第VA族プラズマ注入口および第IIIA族試薬注入口は、前記成長チャンバ内において周辺に位置する、請求項1乃至10のいずれか1項に記載の装置。
  13. 前記基材ホルダの回転は、前記1つ以上の基材を第1堆積区域から第2堆積区域へ逐次的に通過させる、請求項1乃至12のいずれか1項に記載の装置。
  14. RPCVDによって基材上に第IIIA−VA族薄膜を形成する方法であって、該方法は、
    (a)第VA族プラズマを、第VA族プラズマ注入口を介して成長チャンバの第1堆積区域に導入する工程と、
    (b)第IIIA族試薬を、第IIIA族試薬注入口を介して成長チャンバの第2堆積区域に導入する工程であって、第2堆積区域は第1堆積区域から実質的に隔離されている、工程と、
    (c)アンモニア、ヒドラジン、ジメチルヒドラジンおよび水素プラズマからなる群より選択される追加試薬を、追加試薬注入口を介して、前記追加試薬と第IIIA族試薬とが堆積前に混合するように、第2堆積区域に導入する工程と、
    (d)前記基材を第1堆積区域と第2堆積区域との間で移動させる工程とを含み、
    それにより第VA族プラズマおよび第IIIA族試薬が基材上に第IIIA−VA族薄膜を形成させる、方法。
  15. 前記追加試薬はアンモニアである、請求項14に記載の方法。
  16. 前記追加試薬は、第IIIA族注入口の開口に実質的に隣接する第2堆積区域に導入される、請求項14または15に記載の方法。
  17. 前記追加試薬および第IIIA族試薬は、前記成長チャンバに同時に導入される、請求項14乃至16のいずれか1項に記載の方法。
  18. 前記第IIIA族試薬は第IIIA族有機金属試薬である、請求項14乃至17のいずれか1項に記載の方法。
  19. 前記第IIIA族有機金属試薬は、トリメチルガリウム、トリエチルガリウム、トリメチルインジウムおよびトリメチルアルミニウムからなる群より選択される、請求項18に記載の方法。
  20. 第VA族プラズマは活性窒素種を含有する窒素プラズマである、請求項14乃至19のいずれか1項に記載の方法。
  21. つ以上の前記基材に隣接する第IIIA族試薬と追加試薬との混合を促進する工程をさらに含む、請求項14乃至20のいずれか1項に記載の方法。
  22. 前記追加試薬の流量は15〜1500sccm(2.54×10−2Pa・m/s〜2.54Pa・m/s)である、請求項14乃至21のいずれか1項に記載の方法。
  23. 前記第VA族プラズマを供給するプラズマ発生器の出力を500〜4000Wとなるように制御する工程をさらに含む、請求項14乃至22のいずれか1項に記載の方法。
  24. 前記成長チャンバ内の成長圧力は2〜5トル(2.67×10Pa〜6.67×10Pa)である、請求項14乃至23のいずれか1項に記載の方法。
  25. 前記第VA族プラズマの流量は2000〜3000sccm(3.38Pa・m/s〜5.07Pa・m/s)である、請求項14乃至24のいずれか1項に記載の方法。
  26. 前記成長チャンバ内の温度を400〜1200℃となるように制御する工程をさらに含む、請求項14乃至25のいずれか1項に記載の方法。
  27. 第VA族プラズマと第IIIA族試薬との混合を防止するために前記第1堆積区域および前記第2堆積区域を隔離する工程をさらに含む、請求項14乃至26のいずれか1項に記載の方法。
  28. 成長膜をp型ドーピングする工程をさらに含む、請求項14乃至27のいずれか1項に記載の方法。
  29. RPCVDによって、基材上に5E+17原子/cm未満の炭素不純物含有量を有する第IIIA−VA族薄膜を形成する方法であって、前記方法は、
    (a)第VA族プラズマを、第VA族プラズマ注入口を介して成長チャンバの第1堆積区域に導入する工程であって、第VA族プラズマ注入口と第1堆積区域内に位置する基材との間に直接的な流路が提供される、工程と、
    (b)第IIIA族試薬を、第IIIA族試薬注入口を介して成長チャンバの第2堆積区域に導入する工程であって、第2堆積区域は第1堆積区域から実質的に隔離されている、工程と、
    (c)アンモニア、ヒドラジン、ジメチルヒドラジンおよび水素プラズマからなる群より選択される追加試薬を、追加試薬注入口を介して、前記追加試薬と第IIIA族試薬とが堆積前に混合するように、第2堆積区域に導入する工程と、
    (d)前記基材を第1堆積区域と第2堆積区域との間で移動させる工程とを含み、
    それにより第VA族プラズマおよび第IIIA族試薬が前記基材上に5E+17原子/cm未満の炭素不純物含有量を有する第IIIA−VA族薄膜を形成する、方法。
  30. 前記炭素不純物含有量は3E+17原子/cm未満である、請求項29に記載の方法。
  31. 前記薄膜の酸素不純物含有量は6E+17原子/cm未満である、請求項29に記載の方法。
JP2015520778A 2012-07-13 2013-07-15 成膜装置および方法 Active JP6235581B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
AU2012903023 2012-07-13
AU2012903023A AU2012903023A0 (en) 2012-07-13 Apparatus and method for film formation
AU2012903455 2012-08-10
AU2012903455A AU2012903455A0 (en) 2012-08-10 Apparatus and method for film formation
PCT/AU2013/000786 WO2014008557A1 (en) 2012-07-13 2013-07-15 Apparatus and method for film formation

Publications (3)

Publication Number Publication Date
JP2015527486A JP2015527486A (ja) 2015-09-17
JP2015527486A5 JP2015527486A5 (ja) 2016-09-01
JP6235581B2 true JP6235581B2 (ja) 2017-11-22

Family

ID=49915264

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015520778A Active JP6235581B2 (ja) 2012-07-13 2013-07-15 成膜装置および方法

Country Status (9)

Country Link
US (2) US20150167162A1 (ja)
EP (1) EP2872668B1 (ja)
JP (1) JP6235581B2 (ja)
KR (1) KR102152786B1 (ja)
CN (1) CN104428444B (ja)
AU (1) AU2013289866B2 (ja)
HK (1) HK1204663A1 (ja)
SG (1) SG11201407907XA (ja)
WO (1) WO2014008557A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7001939B2 (ja) 2020-03-06 2022-01-20 ダイキン工業株式会社 輸送用冷凍装置、及び輸送用コンテナ

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
TWI730096B (zh) 2016-05-20 2021-06-11 荷蘭商露明控股公司 發光裝置及用於生長發光裝置之方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107675141B (zh) * 2017-10-25 2023-08-04 南昌大学 一种用于制备氮化物材料的装置
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102383970B1 (ko) 2017-11-07 2022-04-11 갈리움 엔터프라이지즈 피티와이 엘티디 매립된 활성화된 p-(Al,In)GaN 층
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7180984B2 (ja) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN109023301B (zh) * 2018-10-24 2023-10-13 乐山新天源太阳能科技有限公司 氧化铝膜制备装置
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109487242B (zh) * 2019-01-07 2021-01-29 合肥京东方卓印科技有限公司 薄膜沉积设备及薄膜沉积方法、显示装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11898248B2 (en) * 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113774363A (zh) * 2020-06-09 2021-12-10 江苏菲沃泰纳米科技股份有限公司 镀膜设备及其镀膜方法
WO2021248303A1 (zh) * 2020-06-09 2021-12-16 江苏菲沃泰纳米科技股份有限公司 镀膜设备和应用
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4638762A (en) * 1985-08-30 1987-01-27 At&T Technologies, Inc. Chemical vapor deposition method and apparatus
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
JP3587946B2 (ja) * 1996-09-30 2004-11-10 独立行政法人 科学技術振興機構 プラズマcvd装置
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
JP4714021B2 (ja) * 2003-08-20 2011-06-29 ビーコ・インストゥルメンツ・インコーポレイテッド 基板の表面に均一なエピタキシャル層を成長させる方法および回転ディスク式反応器
CN101124353B (zh) * 2004-09-27 2011-12-14 盖利姆企业私人有限公司 生长第(ⅲ)族金属氮化物薄膜的方法和装置、以及第(ⅲ)族金属氮化物薄膜
AU2006224282B2 (en) * 2005-02-28 2012-02-02 Sulzer Metco Ag System and process for high-density,low-energy plasma enhanced vapor phase epitaxy
JP4395609B2 (ja) * 2006-03-13 2010-01-13 国立大学法人東北大学 窒化ガリウム系材料からなる基板
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20100086703A1 (en) * 2008-10-03 2010-04-08 Veeco Compound Semiconductor, Inc. Vapor Phase Epitaxy System
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
KR101691558B1 (ko) * 2009-02-13 2016-12-30 갈리움 엔터프라이지즈 피티와이 엘티디 플라즈마 증착
US8080466B2 (en) * 2009-08-10 2011-12-20 Applied Materials, Inc. Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8524581B2 (en) * 2011-12-29 2013-09-03 Intermolecular, Inc. GaN epitaxy with migration enhancement and surface energy modification
US20140014965A1 (en) * 2012-07-11 2014-01-16 Philip A. Kraus Chemical vapor deposition system with in situ, spatially separated plasma

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7001939B2 (ja) 2020-03-06 2022-01-20 ダイキン工業株式会社 輸送用冷凍装置、及び輸送用コンテナ

Also Published As

Publication number Publication date
AU2013289866A1 (en) 2014-12-18
WO2014008557A1 (en) 2014-01-16
EP2872668A4 (en) 2016-03-02
KR102152786B1 (ko) 2020-09-08
CN104428444A (zh) 2015-03-18
HK1204663A1 (en) 2015-11-27
SG11201407907XA (en) 2015-01-29
CN104428444B (zh) 2016-09-21
US20150167162A1 (en) 2015-06-18
AU2013289866B2 (en) 2015-04-02
JP2015527486A (ja) 2015-09-17
KR20150034160A (ko) 2015-04-02
EP2872668B1 (en) 2018-09-19
US20210166913A1 (en) 2021-06-03
EP2872668A1 (en) 2015-05-20

Similar Documents

Publication Publication Date Title
JP6235581B2 (ja) 成膜装置および方法
US7396415B2 (en) Apparatus and methods for isolating chemical vapor reactions at a substrate surface
KR101534560B1 (ko) 실린더형 가스 유입 요소를 구비하는 mocvd 반응기
KR101691558B1 (ko) 플라즈마 증착
US10192740B2 (en) High throughput semiconductor deposition system
US20140137801A1 (en) Epitaxial chamber with customizable flow injection
US20150368799A1 (en) Gas shower device, chemical vapor deposition device and method
TWI615500B (zh) 用於操控磊晶沉積腔室流量的注入及排放設計
JP5710002B2 (ja) 薄膜蒸着装置
JP2011168492A (ja) 基板上に材料をエピタキシャル成長させるための方法と装置
JP2011181580A (ja) 気相成長装置
KR20150101236A (ko) 가스 공급의 개별 제어가 가능한 모듈형 화학기상 증착장치
JP2013070016A (ja) 窒化物半導体結晶成長装置およびその成長方法
JP3757698B2 (ja) 半導体製造装置および半導体製造システム
JP2005303168A (ja) 気相成長装置
TWM513885U (zh) 用於薄膜形成之裝置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160713

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170904

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171003

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171026

R150 Certificate of patent or registration of utility model

Ref document number: 6235581

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250