JP5388963B2 - 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法 - Google Patents

基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法 Download PDF

Info

Publication number
JP5388963B2
JP5388963B2 JP2010167110A JP2010167110A JP5388963B2 JP 5388963 B2 JP5388963 B2 JP 5388963B2 JP 2010167110 A JP2010167110 A JP 2010167110A JP 2010167110 A JP2010167110 A JP 2010167110A JP 5388963 B2 JP5388963 B2 JP 5388963B2
Authority
JP
Japan
Prior art keywords
cycle
gas
supply time
thin film
chlorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010167110A
Other languages
English (en)
Other versions
JP2010287903A (ja
Inventor
謙和 水野
武敏 佐藤
正憲 境
和幸 奥田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2010167110A priority Critical patent/JP5388963B2/ja
Publication of JP2010287903A publication Critical patent/JP2010287903A/ja
Application granted granted Critical
Publication of JP5388963B2 publication Critical patent/JP5388963B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は基板処理方法および基板処理装置に関し、特に、Si半導体デバイスを製造する際に用いられるALD(Atomic Layer Deposition:原子層成膜)法による成膜を行う基板処理方法および基板処理装置に関する。
まず、ALD法を用いた成膜処理について、簡単に説明する。
ALD法は、ある成膜条件(温度、時間等)の下で、成膜に用いる2種類(またはそれ以上)の原料となるガスを1種類ずつ交互に基板上に供給し、1原子層単位で吸着させ、表面反応を利用して成膜を行う手法である。
即ち、利用する化学反応は、例えばSiN(窒化珪素)膜形成の場合ALD法ではDCS(SiHCl、ジクロルシラン)とNH(アンモニア)を用いて300〜600℃の低温で高品質の成膜が可能である。また、ガス供給は、複数種類の反応性ガスを1種類ずつ交互に供給する。そして、膜厚制御は、反応性ガス供給のサイクル数で制御する。(例えば、成膜速度が1Å/サイクルとすると、20Åの膜を形成する場合、処理を20サイクル行う。)
縦型ALDリモートプラズマ装置を例としてさらに詳細に説明する。
Siウエハ上にALD法により窒化シリコン膜を成膜するには、その原料にNHとDCS(SiHCl)を用いる。
窒化シリコン膜の成膜手順を以下に示す。
(1)石英ボートにウエハを移載する。この時ウエハは石英製の支持部によって支えられている。
(2)石英ボートを300℃度の処理室内へ挿入する。
(3)石英ボートの挿入が終わると処理室内を真空引きし、窒化プロセスの450℃程度まで昇温する。
(4)DCSを照射(3秒)→Nパージ(5秒)→プラズマ励起NH照射(6秒)→Nパージ(3秒)を1サイクルとして所定の膜厚を得るまでサイクルを繰り返す。
(5)処理室内の反応ガスのガス引きを行なうと同時に、処理室温度を300℃程度まで降温する。
(6)処理室内を大気圧に復帰し、石英ボートを処理室より引き出す。
ここで、従来条件のNH照射時間6秒の理由を説明する。図7に示すように成膜速度のみを考慮すると、NH照射時間をむやみに長くすることはスループットにおいて利点ではない。これは、図7よりNH照射時間が7秒以上では膜厚は大きく変動しないからである。従って,スループットを考慮して、膜厚が飽和する手前のNH照射時間を標準条件とした。これは従来条件では膜ストレスという点において考慮していなかったためである。
近年の半導体デバイス構造では、歪緩和の目的で1.5Gpa程度の膜ストレスが要求されているが、上記工程を経て成膜された膜ストレスは約1.2Gpa程度と目標値より低い。
従って、本発明の主な目的は、膜ストレスを制御することができる基板処理方法および基板処理装置を提供することにある。
本発明の一態様によれば、
基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する基板処理方法であって、
前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間の2倍より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する基板処理方法が提供される。
本発明の他の態様によれば、
基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する基板処理方法であって、
前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する基板処理方法が提供される。
本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返すことによって前記基板上に形成される薄膜の膜ストレスを制御する膜ストレス制御方法であって、
前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間の2倍より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御する膜ストレス制御方法が提供される。
本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返すことによって前記基板上に形成される薄膜の膜ストレスを制御する膜ストレス制御方法であって、
前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度又は水素濃度の少なくとも一方を制御する膜ストレス制御方法が提供される。
本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室と、
前記処理室内に塩素を含むガス及びアンモニアガスを供給するガス供給部と、
前記処理室内の雰囲気を排出する排出部と、
前記塩素を含むガス及び前記アンモニアガスの供給時間を任意に設定可能な制御部と、を有し、
前記処理室に対し塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する基板処理装置であって、
前記制御部は、前記1サイクルにおける前記アンモニアガスの供給時間を前記塩素を含むガスの供給時間の2倍より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御するよう構成される基板処理装置が提供される。
本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室と、
前記処理室内に塩素を含むガス及びアンモニアガスを供給するガス供給部と、
前記処理室内の雰囲気を排出する排出部と、
前記塩素を含むガス及び前記アンモニアガスの供給時間を任意に設定可能な制御部と、を有し、
前記処理室に対し塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板に薄膜を形成する基板処理装置であって、
前記制御部は、前記1サイクルにおける前記アンモニアガスの供給時間を前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御するよう構成される基板処理装が提供される。
本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する工程を有する半導体デバイスの製造方法であって、
前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する半導体デバイスの製造方法が提供される。
本発明のさらに他の態様によれば、
基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する工程を有する半導体デバイスの製造方法であって、
前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する半導体デバイスの製造方法が提供される。
本発明のさらに他の態様によれば、
基板に対して塩素を含むガスを供給する工程と、
前記基板に対してアンモニアガスを供給する工程と、
前記塩素を含むガスを供給する工程と前記アンモニアガスを供給する工程とを1サイクルとして、前記1サイクルを複数回繰り返すことで、前記基板上に薄膜を形成する工程を有し、
前記1サイクルにおける前記アンモニアガスの供給時間を前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する半導体デバイスの製造方法が提供される。
ALDの反応メカニズムを説明するための図である。 本発明の好ましい実施例のALD成長サイクルを説明するための図である。 NH照射時間とH濃度およびCl濃度との関係を示す図である。 NH照射時間と膜ストレスとの関係を示す図である。 DCS照射時間と膜ストレスとの関係を示す図である。 膜ストレスの温度依存性を示す図である。 NH照射時間と成膜膜厚との関係を示す図である。 本発明の好ましい実施例に係る基板処理装置の縦型の基板処理炉を説明するための概略縦断面図である。 本発明の好ましい実施例に係る基板処理装置の縦型の基板処理炉を説明するための概略横断面図である。 本発明の好ましい実施例に係る基板処理装置を説明するための概略斜視図である。 本発明の好ましい実施例に係る基板処理装置を説明するための概略縦断面図である。
次に、本発明の好ましい実施例を説明する。
本発明の好ましい実施例では、ALD法による窒化シリコン膜(ALD窒化膜)形成プロセスにおいてNH供給時間を制御することで形成される窒化膜の膜ストレスを制御する。
また、本発明の好ましい実施例では、ALD法によって形成される窒化シリコン膜の膜中のCl、H濃度を制御することで膜ストレスを制御する。
次に、図面を参照して本発明の好ましい実施例をさらに詳細に説明する。
まずALDの反応メカニズムについて図1を参照して説明する。
(1)まず、DCS照射(供給)によって、表面にSiとClが吸着する(DCS)。
(2)次に、DCSとNHの混合を防ぐため、Nパージを行う(PRG)。
(3)次に、励起したNHを照射(供給)することによって、(1)で吸着したClがHClとして脱離し、NとHが吸着する(NH)。
(4)次に、NHとDCSの混合を防ぐため、Nパージを行う(PRG)。
所定の膜厚に達するまで、上記(1)〜(4)のサイクルを繰り返す。
上記のように反応が進むので、膜中にはALD窒化膜の主成分であるSi、NのほかにH、Clの不純物が取り込まれていく。
膜ストレス制御のためにまず、励起したNHの照射時間を変更する実験を行った。図2に従来のサイクルと改善サイクルを示す。NH照射時間を6秒、9秒、14秒と変更した。このときの膜ストレスの結果を図4に示すが、励起したNH照射時間を延ばすことによって、膜ストレスが増加していくことが判明した。
また、膜中のH(水素)、Cl(塩素)濃度をSIMSを用いて測定した結果を図3に示すが、NH照射時間を延ばすことによってHとClは共に低減していく。Clはその原料であるDCSから表面に取り込まれるが、NHを照射する過程で表面から脱離していく。従って、NH照射時間が長いほどClの脱離効果は高く、膜中のCl濃度は低減していく。
従って、膜ストレスは膜中のH、Clなる不純物濃度に依存していることがわかる。
つまりH,Cl濃度を制御すること、すなわち、NH照射時間を制御することで膜ストレスを制御が可能となる。
なお、一方のガスであるDCSの照射時間に対する膜ストレスの依存についても調査した。図5に結果を示すがDCS照射時間ではストレスが変化しないことがわかる。よって膜ストレスはNH照射時間に大きく影響を受けている。
また、温度依存を図6に示すが温度が高いほど膜ストレスが高く、かつCl濃度も低いことがわかる。膜ストレスだけを考慮すれば、温度の高いプロセス条件が有利であるが、多くの場合、プロセス温度を変更できない。なぜならば温度をあげることによってNiSi(ニッケルシリサイド)の変質、不純物の再拡散といったデメリットが生じるからである。したがって低温でNH照射時間を長くすることは、膜ストレスを上げ、かつ、NiSiの変質、不純物再拡散を抑制できるメリットがある。なお、ここで、NiSiは、ロジック用途半導体の電極に使われる材料である。従来電極の材料としてはCoSi(コバルトシリサイド)が一般的であったが、電極の低抵抗化の要求があり、より 抵抗が低いNiSiが近年採用されている。低抵抗化することでスイッチング速度が速くなり、すなわち微細化、高集積化が可能となり、重要な要素となっている。
次に、図面を参照して、本発明の好ましい実施例で使用される基板処理装置の一例を説明する。
図8は、本実施例にかかる縦型の基板処理炉を説明するための概略構成図であり、処理炉部分を縦断面で示し、図9は本実施例にかかる縦型の基板処理炉を説明するための概略構成図であり、処理炉部分を横断面で示す。
加熱手段であるヒータ207の内側に、基板であるウエハ200を処理する反応容器として石英製の反応管203が設けられ、この反応管203の下端開口は蓋体であるシールキャップ219により気密部材であるOリング220を介して気密に閉塞されている。反応管203およびヒータ207の外側には断熱部材208が設けられている。断熱部材208はヒータ207の上方端を覆うように設けられている。少なくとも、ヒータ207、断熱部材208、反応管203、及びシールキャップ219により処理炉202を形成している。また、反応管203、シールキャップ219および後述する反応管203内に形成されたバッファ室237により処理室201を形成している。シールキャップ219には石英キャップ218を介して基板保持手段であるボート217が立設され、石英キャップ218はボート217を保持する保持体となっている。そして、ボート217は処理炉202に挿入される。ボート217にはバッチ処理される複数のウエハ200が水平姿勢で管軸方向に多段に垂直方向に積載される。ヒータ207は処理炉202に挿入されたウエハ200を所定の温度に加熱する。
そして、処理炉202へは複数種類、ここでは2種類のガスを供給する供給管としての2本のガス供給管232a、232bが設けられる。ここではガス供給管232aからは流量制御手段であるマスフローコントローラ241a及び開閉弁であるバルブ243aを介し、更に後述する反応管203内に形成されたバッファ室237を介して処理室201に反応ガスが供給され、ガス供給管232bからは流量制御手段であるマスフローコントローラ241b、開閉弁であるバルブ243b、ガス溜め247、及び開閉弁であるバルブ243cを介し、更に後述するガス供給部249を介して処理室201に反応ガスが供給される。
2本のガス供給管232a、232bには、反応副生成物であるNHClの付着を防ぐために、120℃程度まで加熱できる配管ヒータ(図示せず。)を装着している。
処理室201は、ガスを排気する排気管であるガス排気管231によりバルブ243dを介して排気手段である真空ポンプ246に接続され、真空排気されるようになっている。尚、このバルブ243dは弁を開閉して処理室201の真空排気・真空排気停止ができ、更に弁開度を調節して圧力調整可能になっている開閉弁である。
処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間には、反応管203の下部より上部の内壁にウエハ200の積載方向に沿って、ガス分散空間であるバッファ室237が設けられている。バッファ室237のウエハ200と隣接する内側の壁の端部近傍にはガスを供給する供給孔であるガス供給孔248aが設けられている。このガス供給孔248aは反応管203の中心へ向けて開口している。このガス供給孔248aは、ウエハ200の積載方向に沿って下部から上部に所定の長さにわたってそれぞれ同一の開口面積を有し、更に同じ開口ピッチで設けられている。
そしてバッファ室237のガス供給孔248aが設けられた端部と反対側の端部近傍には、ノズル233が、やはり反応管203の下部より上部にわたりウエハ200の積載方向に沿って配設されている。そしてノズル233にはガスを供給する供給孔であるガス供給孔248bが複数設けられている。複数のガス供給孔248bは、ガス供給孔248aの場合と同じ所定の長さにわたってウエハ200の積載方向に沿って配設されている。そして、複数のガス供給孔248bと複数のガス供給孔248aとをそれぞれ1対1で対応させて配置している。
また、ガス供給孔248bの開口面積は、バッファ室237と処理炉202の差圧が小さい場合には、上流側から下流側まで同一の開口面積で同一の開口ピッチとすると良いが、差圧が大きい場合には上流側から下流側に向かって開口面積を大きくするか、開口ピッチを小さくすると良い。
ガス供給孔248bの開口面積や開口ピッチを上流側から下流にかけて調節することで、まず、各ガス供給孔248bよりガスの流速の差はあるが、流量はほぼ同量であるガスを噴出させる。そしてこの各ガス供給孔248bから噴出するガスをバッファ室237に噴出させて一旦導入し、ガスの流速差の均一化を行うことができる。
すなわち、バッファ室237において、各ガス供給孔248bより噴出したガスはバッファ室237で各ガスの粒子速度が緩和された後、ガス供給孔248aより処理室201に噴出する。この間に、各ガス供給孔248bより噴出したガスは、各ガス供給孔248aより噴出する際には、均一な流量と流速とを有するガスとすることができる。
さらに、バッファ室237に、細長い構造を有する棒状電極269及び棒状電極270が上部より下部にわたって電極を保護する保護管である電極保護管275に保護されて配設され、この棒状電極269又は棒状電極270のいずれか一方は整合器272を介して高周波電源273に接続され、他方は基準電位であるアースに接続されている。この結果、棒状電極269及び棒状電極270間のプラズマ生成領域224にプラズマが生成される。
この電極保護管275は、棒状電極269及び棒状電極270のそれぞれをバッファ室237の雰囲気と隔離した状態でバッファ室237に挿入できる構造となっている。ここで、電極保護管275の内部は外気(大気)と同一雰囲気であると、電極保護管275にそれぞれ挿入された棒状電極269及び棒状電極270はヒータ207の加熱で酸化されてしまう。そこで、電極保護管275の内部は窒素などの不活性ガスを充填あるいはパージし、酸素濃度を充分低く抑えて棒状電極269又は棒状電極270の酸化を防止するための不活性ガスパージ機構が設けられる。
さらに、ガス供給孔248aの位置より、反応管203の内周を120°程度回った内壁に、ガス供給部249が設けられている。このガス供給部249は、ALD法による成膜においてウエハ200へ、複数種類のガスを1種類ずつ交互に供給する際に、バッファ室237とガス供給種を分担する供給部である。
このガス供給部249もバッファ室237と同様にウエハと隣接する位置に同一ピッチでガスを供給する供給孔であるガス供給孔248cを有し、下部ではガス供給管232bが接続されている。
ガス供給孔248cの開口面積はバッファ室237と処理室201の差圧が小さい場合には、上流側から下流側まで同一の開口面積で同一の開口ピッチとすると良いが、差圧が大きい場合には上流側から下流側に向かって開口面積を大きくするか開口ピッチを小さくすると良い。
反応管203内の中央部には複数枚のウエハ200を多段に同一間隔で鉛直方向に載置するボート217が設けられており、このボート217は図中省略のボートエレベータ機構により反応管203に出入りできるようになっている。また処理の均一性を向上するためにボート217を回転するための回転手段であるボート回転機構267が設けてあり、ボート回転機構267を回転することにより、石英キャップ218に保持されたボート217を回転するようになっている。
制御手段であるコントローラ321は、マスフローコントローラ241a、241b、バルブ243a、243b、243c、243d、ヒータ207、真空ポンプ246、ボート回転機構267、ボートエレベータ121、高周波電源273、整合器272に接続されており、マスフローコントローラ241a、241bの流量調整、バルブ243a、243b、243cの開閉動作、バルブ243dの開閉及び圧力調整動作、ヒータ207の温度調節、真空ポンプ246の起動・停止、ボート回転機構267の回転速度調節、ボートエレベータ121の昇降動作制御、高周波電源273の電力供給制御、整合器272によるインピーダンス制御が行われる。コントローラ321によってバルブ243a、243b、243c、バルブ243dの開閉動作を制御することにより、2本のガス供給管232a、232bからそれぞれ供給される処理ガスの供給時間は任意に設定される。
次にALD法による成膜例について、DCS及びNHガスを用いてSiN膜を成膜する例で説明する。
まず成膜しようとするウエハ200をボート217に装填し、処理炉202に搬入する。搬入後、次のステップ4〜7を順次繰り返し実行する。
[ステップ1]
まず、ガス排気管231のバルブ243dを開いて真空ポンプ246により、処理室201を20Pa以下に排気する。
一方では、ガス供給管232bの上流側のバルブ243bを開け、下流側のバルブ243cを閉めて、DCSを流すようにする。これによりバルブ243b、243c間に設けたガス溜め247にDCSを溜める。ガス溜め247に所定圧(例えば、20000Pa以上)、所定量のDCSが溜まったら上流側のバルブ243bを閉めて、ガス溜め247にDCSを閉じ込める。ガス溜め247と処理室201との間のコンダクタンスが1.5×10−3/s以上になるように装置を構成する。また、反応管203の容積とこれに対する必要なガス溜め247の容積との比として考えると、反応管203の容積1001(リットル)の場合においては、100〜300ccであることが好ましく、容積比としてはガス溜め247は反応室容積の1/1000〜3/1000倍とすることが好ましい。
[ステップ2]
ステップ2では、処理室201の排気が終わったらガス排気管231のバルブ243cを閉じて排気を止める。ガス供給管232bの下流側のバルブ243cを開く。これによりガス溜め247に溜められたDCSが処理室201に一気に供給される。このときガス排気管231のバルブ243dが閉じられているので、処理室201内の圧力は急激に上昇して約931Pa(7Torr)まで昇圧される。DCSを供給するための時間は2〜4秒設定し、その後上昇した圧力雰囲気中に晒す時間を2〜4秒に設定し、合計6秒とした。このときのウエハ温度は、450℃である。
[ステップ3]
その後、バルブ243cを閉じ、バルブ243dを開けて処理室201を真空排気し、残留するDCSガスを排除する。また、この時にはN等の不活性ガスを処理室201に供給すると、更に残留するDCSの成膜に寄与した後のガスを処理室201から排除する効果が高まる。またバルブ243bを開いてガス溜め247へのDCSの供給を開始する。
[ステップ4]
ステップ3では、ガス供給管232aに設けたバルブ243a、及びガス排気管231に設けたバルブ243dを共に開けて、ガス供給管232aからマスフローコントローラ241aにより流量調整されたNHガスをノズル233のガス供給孔248bからバッファ室237へ噴出し、棒状電極269及び棒状電極270間に高周波電源273から整合器272を介して高周波電力を印加してNHをプラズマ励起し、活性種として処理室201に供給しつつガス排気管231から排気する。NHガスをプラズマ励起することにより活性種として流すときは、バルブ243dを適正に調整して処理室201内圧力を10〜100Paとする。マスフローコントローラ241aで制御するNHの供給流量は1000〜10000sccmである。NHをプラズマ励起することにより得られた活性種にウエハ200を晒す時間は従来の6秒よりも多く、9秒または14秒である。このときのヒータ207の温度はウエハが450℃になるよう設定してある。NHは反応温度が高いため、上記ウエハ温度では反応しないので、プラズマ励起することにより活性種としてから流すようにしており、このためウエハ温度は設定した低い温度範囲のままで行える。
このNHをプラズマ励起することにより活性種として供給しているとき、ガス供給管232bの上流側のバルブ243bを開け、下流側のバルブ243cを閉めて、DCSも流すようにする。これによりバルブ243b、243c間に設けたガス溜め247にDCSを溜める。このとき、処理室201内に流しているガスはNHをプラズマ励起することにより得られた活性種であり、DCSは存在しない。したがって、NHは気相反応を起こすことはなく、プラズマにより励起され活性種となったNHはウエハ200上に吸着しているDCSと表面反応して、ウエハ200上にSiN膜が成膜される。
そして、NHをプラズマ励起することにより得られた活性種にウエハ200を晒す時間を従来の6秒よりも多く、9秒または14秒としているので、NHを流すことによって形成される膜の膜厚が飽和した後もNHをプラズマ励起することにより得られた活性種を流し続けることになる。また、形成される膜の膜ストレスも増加する。
[ステップ5]
ステップ5では、ガス供給管232aのバルブ243aを閉めて、NHの供給を止めるが、引続きガス溜め247へ供給を継続する。ガス溜め247に所定圧、所定量のDCSが溜まったら上流側のバルブ243bも閉めて、ガス溜め247にDCSを閉じ込めておく。また、ガス排気管231のバルブ243dは開いたままにし真空ポンプ246により、処理室201を20Pa以下に排気し、残留NHを処理室201から排除する。また、この時にはN等の不活性ガスを処理室201に供給すると、更に残留NHを排除する効果が高まる。ガス溜め247内には、圧力が20000Pa以上になるようにDCSを溜める。
[ステップ6]
ステップ6では、処理室201の排気が終わったらガス排気管231のバルブ243cを閉じて排気を止める。ガス供給管232bの下流側のバルブ243cを開く。これによりガス溜め247に溜められたDCSが処理室201に一気に供給される。このときガス排気管231のバルブ243dが閉じられているので、処理室201内の圧力は急激に上昇して約931Pa(7Torr)まで昇圧される。DCSを供給するための時間は2〜4秒設定し、その後上昇した圧力雰囲気中に晒す時間を2〜4秒に設定し、合計6秒とした。このときのウエハ温度はNHの供給時と同じく、450℃である。DCSの供給により、下地膜上にDCSが吸着する。
[ステップ7]
ステップ7では、バルブ243cを閉じ、バルブ243dを開けて処理室201を真空排気し、残留するDCSガスを排除する。また、この時にはN等の不活性ガスを処理室201に供給すると、更に残留するDCSの成膜に寄与した後のガスを処理室201から排除する効果が高まる。またバルブ243bを開いてガス溜め247へのDCSの供給を開始する。
上記ステップ4〜7を1サイクルとし、このサイクルを複数回繰り返すことによりウエハ上に所定膜厚のSiN膜を成膜する。
なお、ALD装置では、ガスは下地膜表面に吸着する。このガスの吸着量は、ガスの圧力、及びガスの暴露時間に比例する。よって、希望する一定量のガスを、短時間で吸着させるためには、ガスの圧力を短時間で大きくする必要がある。この点で、本実施例では、バルブ243dを閉めたうえで、ガス溜め247内に溜めたDCSを瞬間的に供給しているので、処理室201内のDCSの圧力を急激に上げることができ、希望する一定量のガスを瞬間的に吸着させることができる。
また、本実施例では、ガス溜め247にDCSを溜めている間に、ALD法で必要なステップであるNHガスをプラズマ励起することにより活性種として供給、及び処理室201の排気をしているので、DCSを溜めるための特別なステップを必要としない。また、処理室201内を排気してNHガスを除去しているからDCSを流すので、両者はウエハ200に向かう途中で反応しない。供給されたDCSは、ウエハ200に吸着しているNHとのみ有効に反応させることができる。
次に、図10、図11を参照して本実施例の基板処理装置の概略を説明する。
筐体101内部の前面側には、図示しない外部搬送装置との間で基板収納容器としてのカセット100の授受を行う保持具授受部材としてのカセットステージ105が設けられ、カセットステージ105の後側には昇降手段としてのカセットエレベータ115が設けられ、カセットエレベータ115には搬送手段としてのカセット移載機114が取りつけられている。又、カセットエレベータ115の後側には、カセット100の載置手段としてのカセット棚109が設けられると共にカセットステージ105の上方にも予備カセット棚110が設けられている。予備カセット棚110の上方にはクリーンユニット118が設けられクリーンエアを筐体101の内部を流通させるように構成されている。
筐体101の後部上方には、処理炉202が設けられ、処理炉202の下方には基板としてのウエハ200を水平姿勢で多段に保持する基板保持手段としてのボート217を処理炉202に昇降させる昇降手段としてのボートエレベータ121が設けられ、ボートエレベータ121に取りつけられた昇降部材122の先端部には蓋体としてのシールキャップ219が取りつけられボート217を垂直に支持している。ボートエレベータ121とカセット棚109との間には昇降手段としての移載エレベータ113が設けられ、移載エレベータ113には搬送手段としてのウエハ移載機112が取りつけられている。又、ボートエレベータ121の横には、開閉機構を持ち処理炉202の下側を気密に閉塞する閉塞手段としての炉口シャッタ116が設けられている。
ウエハ200が装填されたカセット100は、図示しない外部搬送装置からカセットステージ105にウエハ200が上向き姿勢で搬入され、ウエハ200が水平姿勢となるようカセットステージ105で90°回転させられる。更に、カセット100は、カセットエレベータ115の昇降動作、横行動作及びカセット移載機114の進退動作、回転動作の協働によりカセットステージ105からカセット棚109又は予備カセット棚110に搬送される。
カセット棚109にはウエハ移載機112の搬送対象となるカセット100が収納される移載棚123があり、ウエハ200が移載に供されるカセット100はカセットエレベータ115、カセット移載機114により移載棚123に移載される。
カセット100が移載棚123に移載されると、ウエハ移載機112の進退動作、回転動作及び移載エレベータ113の昇降動作の協働により移載棚123から降下状態のボート217にウエハ200を移載する。
ボート217に所定枚数のウエハ200が移載されるとボートエレベータ121によりボート217が処理炉202に挿入され、シールキャップ219により処理炉202が気密に閉塞される。気密に閉塞された処理炉202内ではウエハ200が加熱されると共に処理ガスが処理炉202内に供給され、ウエハ200に処理がなされる。
ウエハ200への処理が完了すると、ウエハ200は上記した作動の逆の手順により、ボート217から移載棚123のカセット100に移載され、カセット100はカセット移載機114により移載棚123からカセットステージ105に移載され、図示しない外部搬送装置により筐体101の外部に搬出される。炉口シャッタ116は、ボート217が降下状態の際に処理炉202の下面を気密に閉塞し、外気が処理炉202内に巻き込まれるのを防止している。
なお、カセット移載機114等の搬送動作は、搬送制御手段124により制御される。
明細書、特許請求の範囲、図面および要約書を含む2005年2月17日提出の日本国特許出願2005−40471号の開示内容全体は、本国際出願で指定した指定国、又は選択した選択国の国内法令の許す限り、そのまま引用してここに組み込まれる。
種々の典型的な実施の形態を示しかつ説明してきたが、本発明はそれらの実施の形態に限定されない。従って、本発明の範囲は、次の請求の範囲によってのみ限定されるものである。
以上説明したように、本発明の一形態によれば、膜ストレスを制御することができる。
その結果、本発明は、Si半導体デバイスを製造する際に用いられるALD法による成膜を行う基板処理方法および基板処理装置に特に好適に利用できる。
200 ウエハ(基板)
201 処理室
231 ガス排気管
232a ガス供給管
232b ガス供給管
246 真空ポンプ
248a ガス供給孔
248b ガス供給孔
248c ガス供給孔
249 ガス供給部
321 コントローラ

Claims (9)

  1. 基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する基板処理方法であって、
    前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間の2倍より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する基板処理方法。
  2. 基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する基板処理方法であって、
    前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する基板処理方法。
  3. 基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返すことによって前記基板上に形成される薄膜の膜ストレスを制御する膜ストレス制御方法であって、
    前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間の2倍より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御する膜ストレス制御方法。
  4. 基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返すことによって前記基板上に形成される薄膜の膜ストレスを制御する膜ストレス制御方法であって、
    前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度又は水素濃度の少なくとも一方を制御する膜ストレス制御方法。
  5. 基板を処理する空間を成す処理室と、
    前記処理室内に塩素を含むガス及びアンモニアガスを供給するガス供給部と、
    前記処理室内の雰囲気を排出する排出部と、
    前記塩素を含むガス及び前記アンモニアガスの供給時間を任意に設定可能な制御部と、を有し、
    前記処理室に対し塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する基板処理装置であって、
    前記制御部は、前記1サイクルにおける前記アンモニアガスの供給時間を前記塩素を含むガスの供給時間の2倍より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御するよう構成される基板処理装置。
  6. 基板を処理する空間を成す処理室と、
    前記処理室内に塩素を含むガス及びアンモニアガスを供給するガス供給部と、
    前記処理室内の雰囲気を排出する排出部と、
    前記塩素を含むガス及び前記アンモニアガスの供給時間を任意に設定可能な制御部と、を有し、
    前記処理室に対し塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板に薄膜を形成する基板処理装置であって、
    前記制御部は、前記1サイクルにおける前記アンモニアガスの供給時間を前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御するよう構成される基板処理装置。
  7. 基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する工程を有する半導体デバイスの製造方法であって、
    前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する半導体デバイスの製造方法。
  8. 基板を処理する空間を成す処理室に対し、塩素を含むガスを供給、排出し、次いでアンモニアガスを供給、排出するサイクルを1サイクルとして、前記1サイクルを複数回繰り返して前記基板上に薄膜を形成する工程を有する半導体デバイスの製造方法であって、
    前記1サイクルにおける前記アンモニアガスの供給時間を、前記塩素を含むガスの供給時間より長く、かつ6秒より長くするとともに、前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する半導体デバイスの製造方法。
  9. 基板に対して塩素を含むガスを供給する工程と、
    前記基板に対してアンモニアガスを供給する工程と、
    前記塩素を含むガスを供給する工程と前記アンモニアガスを供給する工程とを1サイクルとして、前記1サイクルを複数回繰り返すことで前記基板上に薄膜を形成する工程を有し、
    前記1サイクルにおける前記アンモニアガスの供給時間を前記1サイクルで供給された前記アンモニアガスによって形成される薄膜の膜厚が飽和する供給時間を超える供給時間に制御することによって、前記薄膜中の塩素濃度および水素濃度のうち少なくとも一方を制御して前記薄膜の膜ストレスを制御する半導体デバイスの製造方法。
JP2010167110A 2005-02-17 2010-07-26 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法 Active JP5388963B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010167110A JP5388963B2 (ja) 2005-02-17 2010-07-26 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005040471 2005-02-17
JP2005040471 2005-02-17
JP2010167110A JP5388963B2 (ja) 2005-02-17 2010-07-26 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007503600A Division JP4734317B2 (ja) 2005-02-17 2006-01-27 基板処理方法および基板処理装置

Publications (2)

Publication Number Publication Date
JP2010287903A JP2010287903A (ja) 2010-12-24
JP5388963B2 true JP5388963B2 (ja) 2014-01-15

Family

ID=36916304

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2007503600A Active JP4734317B2 (ja) 2005-02-17 2006-01-27 基板処理方法および基板処理装置
JP2010166803A Ceased JP2010263239A (ja) 2005-02-17 2010-07-26 基板処理方法、膜ストレス制御方法および基板処理装置
JP2010167110A Active JP5388963B2 (ja) 2005-02-17 2010-07-26 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2007503600A Active JP4734317B2 (ja) 2005-02-17 2006-01-27 基板処理方法および基板処理装置
JP2010166803A Ceased JP2010263239A (ja) 2005-02-17 2010-07-26 基板処理方法、膜ストレス制御方法および基板処理装置

Country Status (3)

Country Link
US (2) US20070292974A1 (ja)
JP (3) JP4734317B2 (ja)
WO (1) WO2006087893A1 (ja)

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP5202372B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5208294B2 (ja) * 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6078279B2 (ja) * 2012-09-20 2017-02-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP6854260B2 (ja) * 2018-06-20 2021-04-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102175089B1 (ko) * 2018-08-23 2020-11-06 세메스 주식회사 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7026200B2 (ja) * 2020-12-25 2022-02-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003092291A (ja) * 2001-09-19 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
KR100498467B1 (ko) * 2002-12-05 2005-07-01 삼성전자주식회사 배기 경로에서의 파우더 생성을 방지할 수 있는 원자층증착 장비
CN1777697B (zh) * 2003-04-23 2011-06-22 集勒思公司 瞬时增强原子层沉积
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP3913723B2 (ja) * 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
TWI334450B (en) * 2004-03-12 2010-12-11 Hitachi Int Electric Inc Wafer treatment device and the manufacturing method of semiconductor device
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
JP4444027B2 (ja) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TWI336497B (en) * 2004-10-07 2011-01-21 Hitachi Int Electric Inc Substrate treatment device and manufacturing method for semiconductor device
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
KR100924055B1 (ko) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
JP4753841B2 (ja) * 2006-11-10 2011-08-24 株式会社日立国際電気 半導体デバイスの製造方法
US20090035951A1 (en) * 2007-07-20 2009-02-05 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
KR20100071961A (ko) * 2007-09-19 2010-06-29 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법 및 기판 처리 장치
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP5385002B2 (ja) * 2008-06-16 2014-01-08 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2010114420A (ja) * 2008-10-07 2010-05-20 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置

Also Published As

Publication number Publication date
JPWO2006087893A1 (ja) 2008-07-03
JP4734317B2 (ja) 2011-07-27
US20090205568A1 (en) 2009-08-20
US20070292974A1 (en) 2007-12-20
WO2006087893A1 (ja) 2006-08-24
JP2010287903A (ja) 2010-12-24
JP2010263239A (ja) 2010-11-18

Similar Documents

Publication Publication Date Title
JP5388963B2 (ja) 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法
JP5253589B2 (ja) 半導体デバイスの製造方法及び基板処理装置
JP4828599B2 (ja) 基板処理装置
JP4951501B2 (ja) 基板処理装置および半導体デバイスの製造方法
WO2006038659A1 (ja) 基板処理装置および半導体デバイスの製造方法
JP5568212B2 (ja) 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP2011058067A (ja) 半導体装置の製造方法及び基板処理装置
JP2004281853A (ja) 基板処理装置
JP4566787B2 (ja) 基板処理装置および半導体装置の製造方法
JP2012114200A (ja) 基板処理装置
JP4242733B2 (ja) 半導体装置の製造方法
JP4938805B2 (ja) 基板処理装置
JP4434807B2 (ja) 半導体装置の製造方法
JP4267434B2 (ja) 基板処理装置
JP2005243737A (ja) 基板処理装置
JP4509697B2 (ja) 基板処理装置
JP2005167027A (ja) 基板処理装置
JP2006269532A (ja) 半導体デバイスの製造方法
JP4634155B2 (ja) 基板処理装置及び成膜方法
JP2006216612A (ja) 基板処理装置
JP2005277264A (ja) 基板処理装置
JP4936497B2 (ja) 基板処理装置及び基板処理方法
JP2006190788A (ja) 基板処理装置
JP2011035191A (ja) 基板処理装置
JP2006261441A (ja) 基板処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120928

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130314

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131001

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131008

R150 Certificate of patent or registration of utility model

Ref document number: 5388963

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250