JP5309286B2 - クロックジェネレータ - Google Patents

クロックジェネレータ Download PDF

Info

Publication number
JP5309286B2
JP5309286B2 JP2011019010A JP2011019010A JP5309286B2 JP 5309286 B2 JP5309286 B2 JP 5309286B2 JP 2011019010 A JP2011019010 A JP 2011019010A JP 2011019010 A JP2011019010 A JP 2011019010A JP 5309286 B2 JP5309286 B2 JP 5309286B2
Authority
JP
Japan
Prior art keywords
signal
output
input
clock
clock signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011019010A
Other languages
English (en)
Other versions
JP2011125057A (ja
Inventor
エー.ラバージ ポール
Original Assignee
ラウンド ロック リサーチ リミテッド ライアビリティー カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラウンド ロック リサーチ リミテッド ライアビリティー カンパニー filed Critical ラウンド ロック リサーチ リミテッド ライアビリティー カンパニー
Publication of JP2011125057A publication Critical patent/JP2011125057A/ja
Application granted granted Critical
Publication of JP5309286B2 publication Critical patent/JP5309286B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/14Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals by the use of delay lines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Pulse Circuits (AREA)
  • Dram (AREA)
  • Synchronisation In Digital Transmission Systems (AREA)

Description

本発明は、全般的に主にクロック信号を発生させることに関し、特に、異なる時間領域のクロック信号の擬似同期位相関係を維持するために用いることが可能な同期回路に関する。
メモリ素子用内部クロック信号は、一般に、コアシステムクロックから導出される。コアシステムクロック信号の周波数は、一般に、内部クロック信号に必要な周波数より低いので、内部クロック信号用として、より高い周波数のクロック信号がコアシステム信号から生成される。より高い周波数のクロック信号の周波数は、一般に、コアクロック信号の周波数の倍数である。たとえば、内部クロック信号のクロック周波数がコアクロック信号周波数の2倍、3倍、または4倍であることは珍しいことではない。より高い周波数のクロック信号をコアクロック信号から発生させる手法はよく知られている。一般に、コアシステムクロックは第1のクロック領域にあり、共通の、より高いクロック周波数を有する内部クロック信号は第2のクロック領域にあり、同様に、同じ、しかしさらに高いクロック周波数を有する内部クロック信号は、さらに第3のクロック領域にあると言うことができる。異なる素子が異なるクロック領域で動作していて、動作が同期している必要がある場合がある。たとえば、メモリ素子がより高い周波数のクロック領域で動作していて、メモリ素子と通信しているメモリコントローラがより低い周波数のクロック領域で動作している場合がある。しかしながら、それらのメモリ素子およびメモリコントローラが正常に動作することは、それら二者の間で伝送される信号が、確立されたタイミング関係を満足することに依存する。
一般に、コアクロック信号から生成された、より高い周波数のクロック信号は、コアクロック信号との固定された位相関係を有する。たとえば、図1に示すように、コアクロック信号104の2倍のクロック周波数を有する内部クロック信号108が、コアクロック信号の各クロックエッジと同時に発生する(すなわち、同期した)立上りエッジを有する。つまり、時刻T0およびT1では、コアクロック信号および内部クロック信号108のクロック遷移が同時に発生する。同様に、コアクロック信号104の4倍のクロック周波数を有する内部クロック信号112が、コアクロック信号との、別の、しかしやはり固定された位相関係を有する。具体的には、内部クロック信号112の1つおきのクロックパルスの立上りエッジが、コアクロック信号104の毎回のクロック遷移と同期している。図1に示すように、コアクロック信号104および内部クロック信号112は、時刻T0およびT1において同時に発生するクロック遷移を有する。
内部クロック信号の発生元であるコアクロック信号に対して、内部クロック信号の位相関係を調節したい場合がよくある。この位相関係を調節することにより、期待される位相関係を変化させる固有の時間遅延を吸収することが可能である。たとえば、位相シフトは、ライン負荷の問題、ラインインピーダンスの変動、伝搬遅延などにより発生する。別の例として、別々の導電性信号経路の長さが異なると、時間遅延が異なる。したがって、同期した2つのクロック信号が長さの異なる2つの信号経路を通ると、両者の時間遅延が異なるため、それぞれの行き先に到達する時刻が異なる。この状況は、動作が2つのクロック信号の同期を前提としている場合には、明らかに望ましくない。
さらに、遅延回路を用いて、信号の相対的なタイミングを変化させて、信号の様々なタイミングマージンを修正することも可能である。すなわち、公開されているタイミング仕様を満たしながらタイミングマージンを大きくしたり小さくしたりするために、メモリ素子の内部にある信号、ならびにメモリ素子の外部に与えられた信号のタイミングを、他の信号のタイミングを基準にして調節することが可能である。たとえば、メモリコントローラがメモリ素子に結合されていて、書き込み動作が要求される場合に、データ書き込みストローブがメモリコントローラからメモリ素子に与えられるタイミングと、データが実際にメモリコントローラからメモリ素子に与えられるタイミングとの時間関係をシフトするために、データ送信に対するデータ書き込みストローブの相対的なタイミングを、遅延回路を用いて変更することが可能である。パフォーマンスの変動につながる処理および素子のばらつきを吸収するために、内部信号および外部信号(異なる素子間に与えられる外部信号を含む)の相対的なタイミングを柔軟に変更できることが望ましい場合が多い。
クロック信号の相対位相は、クロック信号の信号経路に遅延を加えることによって調節可能である。クロック信号に時間遅延が加えられると、クロック信号が時間的にシフトし、結果として、遅延されたクロック信号の位相がシフトする。固有の時間遅延のためにそのままでは同期しないであろうクロック信号をさらに遅延させて、再び同期させることができるように、時間遅延を選択することが可能である。調節可能な遅延回路があれば、クロック信号に加える時間遅延を柔軟に調節することが可能である。従来の多くの遅延回路では、遅延回路に加える、必要な時間遅延の量を表す値を変更することによって時間遅延を調節する。そのような調節可能な遅延線回路は、当該技術分野ではよく知られている。
従来の遅延回路には、時間遅延の変更に応答して、遅延回路からグリッチパルスやラントパルスが出力されることが多いという問題がある。いくつかのケースでは、特定の設計の遅延回路が、本質的に、時間遅延が変更されたときにグリッチパルスを発生させやすい。時間遅延やスイッチングノイズを変化させるために遅延回路を無効にするタイミングに対する入力クロック信号のタイミングなども、グリッチパルス発生の一因である。問題は、遅延回路の出力に結合された回路の応答を、グリッチパルスが誤ってトリガしてしまう可能性があることである。つまり、遅延回路の時間遅延を変更する際に、間違ったパルスが予想外の結果をもたらす可能性がある。
従来の遅延線を用いることの別の問題が、第1のクロック信号と第2の、より高い周波数のクロック信号との間の位相関係を維持しながら、第2のクロック信号を遅延させるために用いる遅延線回路の時間遅延を調節することに関して起こる。遅延回路の時間遅延を調節する過程で、第2のクロック信号の、第1のクロック信号との位相関係が失われる可能性がある。具体的には、第2のクロック信号に応答して、ある機能を実行する回路があり、その機能が第2のクロック信号の結果として、第1のクロック信号のクロック遷移とほぼ同時に実行される場合に、その回路が、第1のクロック信号に対して正しくないタイミングで機能を実行してしまう可能性がある。これは、第2のクロック信号の変化の、第1のクロック信号に対する位相関係が、時間遅延が調節されたときに失われるためである。
たとえば、図2は、第1のクロック信号202と、第1のクロック信号202に基づいて生成された第2のクロック信号204とを示している。第2のクロック信号204は、第1のクロック信号202の2倍の周波数を有し、第1のクロック信号202と同相である。図2にさらに示している第3のクロック信号206は、第2のクロック信号204が遅延されたものであり、第2のクロック信号204に対して時間遅延Td1を有する。第3のクロック信号206の時間遅延は、調節可能な遅延線回路(図示せず)によって与えられる。第3のクロック信号206は、回路のタイミングを調節するために用いられ、たとえば、第3のクロック信号206の1つおきの立上りエッジに応答してデータを出力する従来のラッチ回路(図示せず)のタイミングを調節するために用いられる。データを出力させる、第3のクロック信号206の立上りエッジは、第1のクロック信号202の立上りエッジとほぼ同時に発生する。結果として、時刻T1における第3のクロック信号206の立上りエッジに応答してデータ220が出力される。これは、時刻T0における第1のクロック信号202の立上りエッジとほぼ同時である。同様に、時刻T3における第3のクロック信号206の立上りエッジに応答してデータ222が出力される。これは、時刻T2における第1のクロック信号202の立上りエッジとほぼ同時である。
図2はさらに、第2のクロック信号204に対して新しい時間遅延Td2を有するクロック信号206’を示している。クロック信号206’は、調節可能な遅延回路の時間遅延が新しい時間遅延Td2に変更された後の第2のクロック信号を表している。前述のように、クロック信号206’を受け取るラッチ回路は、1つおきの立上りエッジに応答してデータを出力する。つまり、時刻T5におけるクロック信号206’の立上りエッジに応答してデータ224が出力され、時刻T7におけるクロック信号206’の立上りエッジに応答してデータ226が出力される。ただし、図2に示すように、遅延線回路の時間遅延を変更すると、第3のクロック信号206と第1のクロック信号202との間の位相関係が失われる。時刻T5におけるクロック信号206’の第1の立上りエッジは、時刻T4における第2のクロック信号204の立上りエッジに応答したものである。したがって、ラッチによってデータが出力される時刻は、もはや第1のクロック信号202の立上りエッジとほぼ同時ではない。図2に示すように、データ224および226が出力されるのは、それぞれ、時刻T4およびT6における第1のクロック信号202の立下りエッジとほぼ同時である。クロック信号206および206’は、従来の遅延回路の時間遅延を変更すると、出力クロック信号と別のクロック信号との位相関係がどのように失われる可能性があるかを示している。図2に関しては、位相関係が失われると、データは、本来の出力タイミングから位相が180度ずれてラッチ回路から出力される。さらに、メモリ素子内の他の回路、またはメモリ素子に結合されているメモリコントローラが、やはり第2のクロック信号204に基づくそれぞれのクロック信号によって同期している場合は、本例のラッチ回路が、メモリ素子内の他の回路またはメモリコントローラと同期しなくなり、したがって、確実にエラーが発生する。図2に示された状況は、明らかに望ましくないであろう。
本発明の一態様では、第1のクロック信号に基づいてクロック信号を発生させる方法を提供する。この方法は、第1のクロック信号より高いクロック周波数を有する内部クロック信号を、第1のクロック信号に基づいて発生させることを含む。内部クロック信号は、第1のクロック信号のクロックエッジを基準とする初期位相関係を有する。内部クロック信号の、第1のクロック信号に対する初期位相関係は、調節された位相関係に変更される。第1のクロック信号を基準とする位相関係と、初期位相関係の基準となったクロックエッジを追跡する論理レベルとを有する位相同期信号が生成される。この位相同期信号に基づき、内部クロック信号を発生させる。内部クロック信号は、調節された位相関係を有し、さらに、初期位相関係の基準になっていたクロックエッジと同じクロックエッジを基準とする第1のクロックパルスを有する。
本発明の別の態様では、内部クロック信号に応答して論理レベルを入力端子から出力端子までシフトするシフトレジスタを含む同期回路を提供する。この同期回路は、シフトレジスタのダウンストリームタップに結合された入力を有する入力マルチプレクサ制御回路をさらに含む。入力マルチプレクサ制御回路は、ダウンストリームタップに結合された第1の論理レベルに応答して、遅延回路を内部クロック信号を受け取ることから切り離すことを入力マルチプレクサに行わせる入力マルチプレクサ制御信号を発生させる。マルチプレクサ制御回路はさらに、遅延回路によって与えられる擬似同期クロック信号の、コアクロック信号の立上りエッジまたは立下りエッジを基準とする位相関係を追跡する位相同期信号を発生させる。入力マルチプレクサ制御回路は、シフトレジスタのダウンストリームタップに結合された第2の論理レベルに応答して、(入力マルチプレクサ制御回路によって追跡されるコアクロック信号の立上りエッジまたは立下りエッジを依然として基準とする)新しい位相関係を有する擬似同期クロック信号を与える時点で、遅延回路が内部クロック信号を受け取るように遅延回路の入力を再結合するよう、入力マルチプレクサ制御信号を同期信号に基づいて発生させる。
先行技術に従って発生させる各種の関連クロック信号のタイミング図である。 先行技術の遅延線の動作による各種信号のタイミング図である。 本発明の一実施形態による同期回路の機能ブロック図である。 図3の同期回路によって制御されるマルチプレクス入力およびマルチプレクス出力を有する従来の遅延回路の部分的な機能ブロック図である。 図3および4の同期回路の動作の各種信号のタイミング図である。 本発明の実施形態を利用することが可能な同期メモリ素子の機能ブロック図である。 本発明の実施形態を実装することが可能なメモリハブベースのシステムメモリを有するコンピュータシステムの部分的なブロック図である。
図3は、本発明の実施形態による同期回路300を示している。詳細については後述するが、同期回路300を従来の調節可能な遅延回路と用いることにより、異なるクロック領域のクロック信号のうちの1つが出力される調節可能な遅延回路の時間遅延が変更されても、それらのクロック信号間の擬似同期位相関係を維持することが可能である。以下では、本発明が十分に理解されるように、いくつかの詳細を説明する。しかしながら、当業者であれば、これらの具体的な詳細がなくても本発明が実施可能であることは明白であろう。他の事例では、本発明を不要に曖昧にすることを避けるために、よく知られている回路、制御信号、およびタイミングプロトコルを詳細には示していない。
同期回路300は、直列接続された複数のポジティブエッジトリガDフリップフロップ304a〜jを含む。第1のフリップフロップ304aは、制御信号DELOFFと、第1の周波数を有する第1のクロック信号CLKを受け取るように結合されている。CLK信号は、第1のクロック領域のコアクロック信号であることが可能であることを理解されたい。後で詳細に説明するように、アクティブ(HIGH)DELOFF信号は、同期回路300が結合されている調節可能な遅延回路(図2)の時間遅延の変更が要求されていることを示す。残りの直列接続されたフリップフロップ304b〜jは、第2のクロック信号CLK2Xを受け取るように結合されている。CLK2X信号は、CLK信号の2倍の周波数を有し、第2のクロック領域のクロック信号であることが可能である。CLK2X信号は、CLK信号に基づいて発生させることが可能であり、CLK2X信号はCLK信号と同相である。CLK2X信号は、当該技術分野においてよく知られている従来の回路で発生させることが可能であることを理解されたい。フリップフロップ304cおよび304jの「非反転」出力は2入力ANDゲートに結合され、このゲートの出力が、遅延出力選択信号DEL_OUT_SELを与える。
同期回路300はさらにDフリップフロップ312を含み、フリップフロップ312は、その入力において、フリップフロップ304fの非反転出力に結合された第1の入力と、フリップフロップ312の「反転」出力に結合された第2の入力とを有する2入力ANDゲート320の出力を受け取るように結合されている。フリップフロップ312は、CLK2X信号のポジティブエッジに応答してトリガされるように結合されている。Dフリップフロップ316は、その入力において、フリップフロップ304fの非反転出力に結合された第1の入力と、Dフリップフロップ312の非反転出力に結合された第2の入力とを有する2入力ORゲート322の出力を受け取るように結合されている。Dフリップフロップ316は、CLK2X信号のネガティブエッジに応答してトリガされるように結合されている。フリップフロップ316の非反転出力は、遅延入力選択信号DEL_IN_SELを与える。
図4は、同期回路300のうちの、従来の遅延回路400と結合されている部分を示している。従来の遅延回路400の入力は、マルチプレクサ401の出力に結合されている。マルチプレクサ401の第1の入力がCLK2X信号を受け取るように結合され、第2の入力がグラウンドに結合されている。代替実施形態では、当該技術分野において知られているように、遅延回路が、内部マルチプレクス入力を含む。遅延回路400は、制御値DELTAPに基づく時間遅延を有する、CLK2X信号に基づく出力クロック信号CLKDELを与える。DELTAP値は、一般に、遅延回路400の時間遅延を設定する複数の信号によって表される。そのような信号の発生は従来技術によるものなので、簡潔さのために本明細書では説明しない。遅延回路400の出力は、マルチプレクサ402の第1の入力に結合されている。マルチプレクサ402の第2の入力は、グラウンドに結合されている。したがって、マルチプレクサ402は、DEL_OUT_SEL信号の制御下で、CLKDEL信号またはグラウンドを出力信号DELOUTとして与える。DEL_IN_SEL信号およびDEL_OUT_SEL信号は、図3に示した同期回路300によって与えられる。後で詳細に説明するように、入力クロック信号を切り離すと、遅延線回路からグリッチパルスが出力される事象が最少化される。遅延線回路に新しい値が与えられた後、入力クロック信号が遅延線回路の入力に再結合され、遅延線回路の出力信号が新しい遅延時間だけ遅延される。前述のように、遅延回路400は従来技術によるものであり、本発明の実施形態との使用に好適な、様々な設計が当業者にはよく知られている。
同期回路および遅延回路400の動作を、図5のタイミング図を参照して説明する。図5は、CLK信号と、CLK信号から導出され、CLK信号と同相であるCLK2X信号を示している。最初、DELOFF信号(図3)はLOWである。したがって、DEL_IN_SEL信号およびDEL_OUT_SEL信号もLOWである。結果として、CLK2Xが遅延回路400(図4)に結合され、遅延回路400から出力されたCLKDEL信号によってDELOUT信号が与えられる。図5に示すように、DELOUT信号は、CLK2X信号が遅延されたものであり、現在のDELTAP値によって設定された時間遅延Td1を有する。
前述のように、遅延回路400(図4)のDELTAP値が変更されることを想定して動作を開始するためにアクティブDELOFF信号を用いる。時刻T0より前のある時刻に、DELOFF信号がHIGHになり(図示せず)、動作の開始を要求されたことを示す。時刻T0に、CLK信号のポジティブエッジおよびHIGHのDELOFF信号に応答して、フリップフロップ304aがHIGH信号を出力する。フリップフロップ304aから発生したHIGH信号は、直列結合された複数のフリップフロップ304b〜jを通る伝搬を開始する。フリップフロップ304b〜jのそれぞれの非反転出力は、CLK2X信号のポジティブエッジに応答して順にHIGHに切り替わる。フリップフロップ304bおよび304cは、フリップフロップ304bがCLK2X信号の第1のポジティブエッジにおいて準安定であっても、CLK2X信号の第2のポジティブエッジにおいてフリップフロップ304cから安定した信号が出力されるように結合されている。CLK2X信号の毎回のポジティブエッジに対してフリップフロップ304b〜jが順に切り替わる様子を、図5のDELOFF2X_Q信号で示している。DELOFF2X_Q信号は、9ビットの数に対応する16進値を表している(各フリップフロップ304b〜jが9ビットの数の各ビットに対応する)。フリップフロップ304bの出力は最下位ビットを表し、フリップフロップ304jの出力は最上位ビットを表す。図5に示すように、DELOFF2X_Q信号によって表される16進値は、各フリップフロップ304b〜jがLOWからHIGHに切り替わるにつれて変化する。たとえば、時刻T0からCLK2X信号の2クロック周期後の時刻T1では、フリップフロップ304cの出力がHIGHに切り替わる。時刻T1におけるDELOFF2X_Q信号の値は0x003Hであり、この値は、時刻T1において、フリップフロップ304bおよび304cの出力がHIGHであり、残りのフリップフロップ304d〜jの出力がLOWであることに対応する。ANDゲート308は、入力の1つがHIGHであるが、出力はLOWのままである。DELOFF2X_Q信号は、同期回路300の動作には必要ではないが、同期回路300の動作を説明するために図5に示されていることを理解されたい。
時刻T2では、CLK2X信号のポジティブエッジに応答してフリップフロップ304fの出力がHIGHに切り替わる。DELOFF2X_Q信号は、時刻T2において値0x01FHを有することによってフリップフロップ304fの変化を反映する。値0x01FHは、フリップフロップ304b〜304fの出力がHIGHであることに対応する。フリップフロップ316の入力は、フリップフロップ304fの出力がHIGHであることに応答して、HIGHからLOWに切り替わる。CLK2X信号の、時刻T2のポジティブエッジの次の、時刻T3におけるCLK2X信号のネガティブエッジにおいて、フリップフロップ316の出力に与えられるDEL_IN_SEL信号がLOWからHIGHに切り替わる。DEL_IN_SEL信号がLOWからHIGHに切り替わると、マルチプレクサ401(図4)がCLK2X信号を遅延回路400の入力から切り離し、グラウンドを入力として結合する。これに応答して、図5に示すように、最後のCLK2Xパルスが遅延回路400を伝搬すると、DELOUT信号も最終的に、時刻T4でLOWになる。DEL_IN_SEL信号は、フリップフロップ304fの出力がLOWに切り替わるまでHIGHのままである。
時刻T5では、CLK2X信号のポジティブエッジに応答してフリップフロップ304jの出力がHIGHに切り替わり、ANDゲート308の出力のDEL_OUT_SEL信号もHIGHになる。DEL_OUT_SEL信号がHIGHになると、マルチプレクサ402の出力がグラウンドに結合され、したがって、遅延回路400の出力が、DELOUT信号を与えることから切り離される。遅延回路400の入力が、時刻T3において、CLK2X信号を受け取ることから切り離され、その後、遅延回路400の出力が、時刻T5において、マルチプレクサ402の出力から切り離されるシーケンスは、あらゆるラントパルスを遅延回路400から排出することを可能にする。すなわち、DEL_IN_SEL信号がHIGHになった時点でCLK2X信号のクロックパルスのあらゆる部分が遮断され、遅延回路400を伝搬されなくなってから、遅延回路400の出力が切り離される。遅延回路400の入力および出力が切り離された状態で、時刻T6で、DELTAP値を変更して遅延回路400の時間遅延を調節することが可能である。
前述のように、問題が起こる可能性があるのは、出力クロック信号を与えるために遅延回路が再結合されたときに、第1の時間領域のクロックに対する、第2の時間領域のクロック信号の位相が維持されない場合である。図5では、DELOUT信号が第2の時間領域のクロック信号を表しており、CLK信号が第1の時間領域のクロック信号を表している。同期回路400は、フリップフロップ312を用いて、遅延回路400が切り離されている間のDELOUT信号とCLK信号との間の位相関係を追跡し、それによって、遅延回路400を再結合した後のDELOUT信号の最初のクロックパルスとCLK信号との位相関係が、遅延回路400が切り離される前とほぼ同じになるようにする。図5を参照すると、DELOUT信号の最後のクロックパルスが時刻T3に出力される。この最後のクロックパルスは、CLK信号のネガティブエッジと同時に発生する、CLK2X信号の、時刻T2にポジティブエッジを有するクロックパルスが遅延されたものである。したがって、DELOUT信号とCLK信号との間の適正な相対位相関係を維持するためには、DELTAP値が変更された後のDELOUT信号の最初のクロックパルスがCLK信号のポジティブエッジに追従しなければならない。
動作時には、フリップフロップ304fの出力がHIGHに切り替わる時刻T3の後の、CLK2X信号の次のポジティブエッジに応答して時刻T4でフリップフロップ312の出力がHIGHに切り替わるまで、フリップフロップ312の出力はLOWである。図示した構成では、図5でPH2XOFF_Q信号として示されているフリップフロップ312の出力が、時刻T4以降、フリップフロップ304fの出力がLOWに戻るまで、CLK2X信号の毎回のポジティブエッジに応答して、HIGHとLOWとの間で切り替わる。後で詳細に説明するように、フリップフロップ312のトグル動作は、遅延回路400が切り離されている時間帯の、DELOUT信号とCLK信号との間の位相関係を追跡するために用いる。
時刻T6の後、時刻T7になるまでの間、フリップフロップ304aへのDELOFF信号入力はLOWになる(図示せず)。これは、遅延回路400の入力および出力の再結合が要求されていることを示している。フリップフロップ304aの出力は、時刻T7において、CLK2X信号のポジティブエッジに応答してLOWになる。フリップフロップ304aのLOW出力は、CLK2X信号の毎回のポジティブエッジに応答して残りのフリップフロップ304b〜jを順に伝搬し始める。時刻T8でフリップフロップ304cの出力がLOWに切り替わり、これに応答して、ANDゲート308のDEL_OUT_SEL信号がLOWに切り替わる。LOWのDEL_OUT_SEL信号により、マルチプレクサ402が遅延回路400の出力を再結合して、CLKDEL信号をDELOUT信号として与える。時刻T8では、遅延回路400の出力は再結合されるが、入力はまだ、CLK2X信号を受け取るように再結合されていない。したがって、遅延回路の出力は、この時点ではまだLOWである。
時刻T9では、CLK2X信号のポジティブエッジに応答してフリップフロップ304fの出力がLOWに切り替わる。フリップフロップ312の出力もLOWであれば、フリップフロップ304fのLOW出力により、フリップフロップ316の出力のDEL_IN_SEL信号が、その後のCLK2X信号のネガティブエッジでLOWに切り替わる。しかしながら、図5に示すように、CLK2X信号の次のネガティブエッジに対応する時刻T10では、フリップフロップ312の出力はHIGHのままである。したがって、DEL_IN_SEL信号は、時刻T10ではLOWに切り替わらない。CLK2X信号の次のポジティブエッジに対応する時刻T11では、時刻T8でのフリップフロップ304fのLOW出力により、フリップフロップ312の出力がLOWに切り替わる。CLK2X信号の次のネガティブエッジに対応する時刻T12では、フリップフロップ316の出力のDEL_IN_SEL信号が最終的にLOWになる。DEL_IN_SEL信号は、フリップフロップ312の出力が時刻T11でLOWに切り替わったときにORゲートの出力がLOWに切り替わったために、LOWに切り替わる。マルチプレクサ401は、DEL_IN_SEL信号がLOWに切り替わるのに応答して、CLK2X信号を遅延回路400の入力に再結合する。したがって、時刻T13におけるCLK2X信号のポジティブエッジは、DELTAP値の変更後に遅延回路400に入力されるCLK2X信号の最初のクロックパルスのポジティブエッジとなる。時刻T14におけるDELOUT信号の最初のクロックパルスのポジティブエッジは、時刻T13におけるCLK2X信号のポジティブエッジに対応するポジティブエッジである。DELOUT信号は、時刻T6に遅延回路400に与えられた新しいDELTAP値に対応する、新しい遅延時間Td2だけ遅延される。
図5に示すように、DELOUT信号は、CLK信号との位相関係を維持する。すなわち、前述のように、DELOUT信号とCLK信号との間の相対位相関係を維持するためには、DELOUT信号の最初のクロックパルスがCLK信号のポジティブエッジに対応しなければならない(これは図5に示したケースである)。DELOUT信号とCLK信号との間の相対位相関係が維持されるのは、CLK2X信号に応答しての、フリップフロップ312のHIGHとLOWとの間のトグル動作で追跡されるCLK信号の位相が適正になるまで、DEL_IN_SEL信号が、CLK2X信号を遅延回路400の入力に再結合することを許可されないためである。
本発明の範囲から逸脱することなく、同期回路300に小変更を加えることが可能であることを理解されたい。たとえば、直列結合されるフリップフロップ304a〜jの数を変更することによって、DELOUT信号を与える遅延線400の切り離しおよび再結合に用いる各種信号の相対的なタイミングを変えることが可能である。代替として、フリップフロップ312および316をフリップフロップ304a〜jのどの出力に結合するかを変更することによっても、各種信号の相対的なタイミングを変えることが可能である。
本発明の代替実施形態では、同期回路300を、CLK信号の周波数の倍数の周波数(たとえば、CLK信号の4倍の周波数)を有するクロック信号で用いるように変更する。CLK信号の1周期の間に発生すると予想される4個のポジティブエッジを追跡するために、フリップフロップ312の代わりに非同期FIFO(図示せず)を用いることが可能である。CLK信号の周波数の倍数の周波数を有するクロック信号を用いるケースでは、非同期FIFOに結合される論理回路に、当業者にはよく理解されている小変更を加えることが可能である。非同期FIFOを用いると、遅延回路400の出力を再結合した後のDELOUT信号の最初のクロックパルスが発生するときの、CLK信号との相対位相関係を、遅延回路400の入力が、CLK信号の4倍の周波数を有するクロック信号を受け取ることから切り離されたときと同じにすることが可能である。そのような非同期FIFOは、当業者にはよく知られており、従来の設計および回路を用いて実装することが可能である。遅延されたクロック信号の最初のパルスが遅延回路400から出力される際の位相関係を適正に維持するための、CLK信号に対する位相関係の追跡に、従来の1:nカウンタ回路またはタイミングチェーンを用いることも可能である。本発明の範囲から逸脱することなく、フリップフロップ312に対する他の置き換えが可能であることを理解されたい。
図6は、本発明の実施形態を実装することが可能なメモリ素子600の機能ブロック図である。図6のメモリ素子600は、ダブルデータレート(DDR)同期ダイナミックランダムアクセスメモリ(「SDRAM」)であるが、本明細書で説明する原理は、内部および外部の信号を同期する同期回路を含むことが可能な任意のメモリ素子(たとえば、従来の同期DRAM(SDRAM)や、SLDRAMおよびRDRAMのようなパケット化メモリ素子など)に適用可能であり、内部および外部のクロッキング信号を同期しなければならない任意の集積回路にも同様に適用可能である。
メモリ素子600には制御ロジックおよびコマンドデコーダ634が含まれ、これは、主にメモリコントローラなどの外部回路(図示せず)から制御バスCONTを介して複数のコマンド信号およびクロッキング信号を受け取る。コマンド信号は、一般に、チップセレクト信号CS*、書き込みイネーブル信号WE*、列アドレスストローブ信号CAS*、および行アドレスストローブ信号RAS*を含み、クロッキング信号は、クロックイネーブル信号CKE、および相補クロック信号CLK、CLK*を含む(「*」は、信号がアクティブローであることを表す)。コマンド信号CS*、WE*、CAS*、およびRAS*は、個々のコマンド(読み出し、書き込み、自動リフレッシュなどのコマンド)に対応する値まで駆動される。CKE信号は、内部クロック、入力バッファ、および出力ドライバをアクティブ化したり非アクティブ化したりする。コマンドデコーダ634は、クロック信号CLK、CLK*に応答して、入力コマンドをラッチおよびデコードし、コンポーネント602〜632が入力コマンドの機能を実行するように制御するクロッキング信号および制御信号のシーケンスを発生させる。コマンドデコーダ634は、CLK、CLK*信号のポジティブエッジ(すなわち、ハイになるCLKとローになるCLK*の交差点)でコマンド信号およびアドレス信号をラッチし、同時に、データストローブ信号DQSの両エッジに応答して(したがって、クロック信号CLK、CLK*の2倍の周波数で)入力レジスタ630がデータをメモリ素子600に転送し、データドライバ624がデータをメモリ素子600から転送する。これが成り立つのは、DQS信号がCLK、CLK*信号と同じ周波数だからである。メモリ素子600がダブルデータレート素子と呼ばれるのは、素子に転送されたり、素子から転送されたりするデータワードDQが従来のSDRAMの2倍のレートで転送されるからであり、これによって、入力クロック信号の周波数に対応するレートでデータが転送される。制御信号およびタイミング信号を発生させる際の制御ロジックおよびコマンドデコーダ634の動作の詳細は従来どおりなので、簡潔さのために、詳細な説明は行わない。
メモリ素子600にはさらに、アドレスバスADDRを介して行アドレス、列アドレス、およびバンクアドレスを受け取るアドレスレジスタ602が含まれる(これらのアドレスは一般にメモリコントローラ(図示せず)から供給される)。アドレスレジスタ602は、行アドレスマルチプレクサ604に与えられる行アドレスと、バンク制御ロジック回路606に与えられるバンクアドレスとを受け取る。行アドレスマルチプレクサ604は、アドレスレジスタ602から受け取った行アドレス、またはリフレッシュカウンタ608から受け取ったリフレッシュ行アドレスを、複数の行アドレスラッチおよびデコーダ610A〜Dに与える。バンク制御ロジック606は、アドレスレジスタ602から受け取ったバンクアドレス、またはリフレッシュカウンタ608から受け取ったリフレッシュバンクアドレスに対応する行アドレスラッチおよびデコーダ610A〜Dをアクティブ化し、アクティブ化された行アドレスラッチおよびデコーダは、受け取った行アドレスをラッチおよびデコードする。アクティブ化された行アドレスラッチおよびデコーダ610A〜Dは、デコードされた行アドレスに応答して、対応するメモリバンク612A〜Dに各種信号を与え、それによって、デコードされた行アドレスに対応するメモリセルの行をアクティブ化する。各メモリバンク612A〜Dが、行と列の形に配列された複数のメモリセルを有するメモリセルアレイを含み、アクティブ化された行にあるメモリセルに格納されているデータが、対応するメモリバンクのセンス増幅器に格納される。メモリ素子600が、メモリ素子600に入力された自動リフレッシュコマンドまたは自己リフレッシュコマンドに応答して自動リフレッシュモードまたは自己リフレッシュモードで動作している場合は、行アドレスマルチプレクサ604が、リフレッシュカウンタ608から受け取ったリフレッシュ行アドレスをデコーダ610A〜Dに与え、バンク制御ロジック回路606が、リフレッシュカウンタから受け取ったリフレッシュバンクアドレスを使用する(これらは当業者であれば理解されよう)。
ADDRバスには、行アドレスおよびバンクアドレスの後に列アドレスが与えられ、アドレスレジスタ602が列アドレスを列アドレスカウンタおよびラッチ614に与え、列アドレスカウンタおよびラッチ614が、列アドレスをラッチし、ラッチした列アドレスを複数の列デコーダ616A〜Dに与える。バンク制御ロジック606は、受け取ったバンクアドレスに対応する列デコーダ616A〜Dをアクティブ化し、アクティブ化された列デコーダは、与えられた列アドレスをデコードする。列アドレスカウンタおよびラッチ614は、メモリ素子600の動作モードに応じて、ラッチした列アドレスをデコード616A〜Dに直接与えるか、アドレスレジスタ602によって与えられる列アドレスから始まる列アドレスのシーケンスをデコーダに与える。アクティブ化された列デコーダ616A〜Dは、カウンタおよびラッチ614から受け取った列アドレスに応答して、デコード信号および制御信号をI/Oゲーティングおよびデータマスキング回路618に与え、I/Oゲーティングおよびデータマスキング回路618は、アクセスされているメモリバンク612A〜Dのアクティブ化された行のメモリセルの中の、デコードされた列アドレスに対応するメモリセルにアクセスする。
データ読み出し動作の間は、アドレス指定されたメモリセルから読み出されているデータがI/Oゲーティングおよびデータマスキング回路618を通って読み出しラッチ620に結合されている。I/Oゲーティングおよびデータマスキング回路618は、Nビットのデータを読み出しラッチ620に供給し、読み出しラッチ620は、次いで2つのN/2ビットワードをマルチプレクサ622に与える。図6の実施形態では、回路618は、64ビットを読み出しラッチ620に与え、読み出しラッチ620は、2つの32ビットワードをマルチプレクサ622に与える。データドライバ624が、マルチプレクサ622からのN/2ビットワードを順に受け取り、さらに、データドライバ624を同期するクロック信号を、本発明の実施形態による同期回路を有するクロックジェネレータ627から受け取る。クロックジェネレータ627は、遅延されたクロック信号を遅延ロックループ(DLL)623から受け取るように結合されている。当該技術分野で知られているように、DLLは、別のクロック信号と同期しているクロックを発生させることが可能である。クロックジェネレータ627は、異なるクロック領域のクロック信号の間に擬似同期位相関係を有しながら、DLLからのクロック信号を遅延させたものを、データドライバ624に与える。クロックジェネレータ627による位相関係の調節は、調節可能な遅延回路の時間遅延を調節することによってなされる。クロックジェネレータに含まれる同期回路は、クロックジェネレータ627から出力されるクロック信号と、別のクロック領域にあるクロック信号との間の大まかな位相関係を維持するのに用いられる。
データストローブドライバ625が、ストローブ信号ジェネレータ626からデータストローブ信号DQSを受け取る。データストローブドライバ625は、クロックジェネレータ629に結合され、クロックジェネレータ629は、データストローブドライバ625の動作を同期するためのクロック信号をデータストローブドライバ625に与える。クロックジェネレータ629は、クロックジェネレータ627と同様に、本発明の一実施形態による同期回路を含むことにより、異なるクロック領域のクロック信号の間の擬似同期位相関係を維持する。クロックジェネレータ629は、入力クロック信号をDLL 623から受け取る。DQS信号は、メモリコントローラのような外部回路(図示せず)が、読み出し動作中にメモリ素子600からのデータをラッチする際に用いる。データドライバ624は、遅延されたクロック信号CLKDELに応答して、受け取ったN/2ビットワードを、対応するデータワードDQとして順に出力する。各データワードは、メモリ素子600をクロッキングするために与えられたCLK信号の立上りエッジまたは立下りエッジに同期して出力される。データドライバ624はさらに、CLK信号の立上りエッジおよび立下りエッジとそれぞれ同期している立上りエッジおよび立下りエッジを有するデータストローブ信号DQSを出力する。各データワードDQおよびデータストローブ信号DQSが、合わせてデータバスを定義する。当業者であれば理解されるように、DLL 623からのCLKDEL信号は、CLK信号が遅延されたものであり、DLL 623は、メモリ素子600について公開されているタイミング仕様を満たすようにDQS信号およびDQワードがデータバス上に配置されるよう、CLK信号に対するCLKDEL信号の遅延を調節する。データバスはさらに、マスキング信号DM0−Mを含む。これについては、後でデータ書き込み動作に関して詳述する。メモリ素子に含まれるクロックジェネレータの数は、本発明の範囲から逸脱することなく変更可能であることを理解されたい。たとえば、コアクロック信号を基準とする他の内部クロック信号の相対的なタイミングをより柔軟に変更できるように、それらの内部クロック信号のための追加クロックジェネレータを含めることが可能である。
データ書き込み動作時には、メモリコントローラのような外部回路(図示せず)が、N/2ビットデータワードDQ、ストローブ信号DQS、および対応するデータマスキング信号DM0−Xをデータバス上に与える。データレシーバ628が各DQワードおよび関連するDM0−X信号を受け取り、これらの信号を、DQS信号でクロッキングされている入力レジスタ630に与える。入力レジスタ630は、DQS信号の立上りエッジに応答して、第1のN/2ビットDQワードおよび関連するDM0−X信号をラッチし、DQS信号の立下りエッジに応答して、第2のN/2ビットDQワードおよび関連するDM0−X信号をラッチする。入力レジスタ630は、ラッチした2つのN/2ビットDQワードをNビットワードとして書き込みFIFOおよびドライバ632に渡すが、与えられたDQワードおよびDM0−X信号を、DQS信号に応答して、クロッキングして書き込みFIFOおよびドライバに入力する。DQワードは、CLK信号に応答して、クロッキングにより書き込みFIFOおよびドライバ632から出力され、I/Oゲーティングおよびマスキング回路618に与えられる。I/Oゲーティングおよびマスキング回路618は、DM0−X信号に従って、アクセスされているバンク612A〜Dのアドレス指定されたメモリセルにDQワードを転送する。DM0−X信号は、アドレス指定されたメモリセルに書き込まれているDQワード(すなわち、書き込みデータ)のうちのビットまたはビット群を選択的にマスクするために用いることが可能である。
図7は、本発明の実施形態を利用することが可能なメモリハブアーキテクチャを有するコンピュータシステム700を示している。コンピュータシステム700は、特定の計算またはタスクを実行する特定のソフトウェアを実行することなど、様々なコンピューティング機能を実行するプロセッサ704を含む。プロセッサ704は、アドレスバス、制御バス、およびデータバスを一般に含むプロセッサバス706を含む。プロセッサバス706は、一般に、キャッシュメモリ708に結合される。キャッシュメモリ708は一般に静的ランダムアクセスメモリ(「SRAM」)である。プロセッサバス706はさらに、バスブリッジとも呼ばれるシステムコントローラ710に結合される。
システムコントローラ710はさらに、他の様々なコンポーネントのための、プロセッサ704との通信パスとして動作する。具体的には、システムコントローラ710は、グラフィックスコントローラ712に一般に接続されるグラフィックスポートを含み、グラフィックスコントローラ712はビデオ端末714に接続される。システムコントローラ710はさらに、操作者がコンピュータシステム700とインターフェースすることを可能にするために、キーボードやマウスなどの1つまたは複数の入力装置718に接続される。一般に、コンピュータシステム700はさらに、システムコントローラ710を介してプロセッサ704に接続される、プリンタなどの1つまたは複数の出力装置720を含む。一般に、プロセッサ704が内部または外部の記憶媒体(図示せず)にデータを格納したり、そこからデータを取り出したりすることを可能にするために、プロセッサ704にはさらに、1つまたは複数のデータ記憶装置724がシステムコントローラ710を介して接続される。一般的な記憶装置724の例として、ハードディスク、フロッピー(登録商標)ディスク、テープカセット、コンパクトディスク読み出し専用メモリ(CD−ROM)などがある。
システムコントローラ710は、バスシステム734を介して複数のメモリモジュール730a〜nに結合されているメモリハブコントローラ728を含む。メモリモジュール730a〜nのそれぞれは、一括してバス750として示されているコマンドバス、アドレスバス、およびデータバスを介して複数のメモリ素子748に結合されているメモリハブ740を含む。メモリハブ740は、コントローラ728とメモリ素子748との間でメモリ要求および応答を効率的にルーティングする。メモリ素子748は、図6に関して既に説明したメモリ素子600のような同期DRAMであることが可能である。メモリハブ740のそれぞれは、書き込みバッファおよび読み出しデータバッファを含む。このアーキテクチャを採用するコンピュータシステムは、プロセッサ704がメモリモジュール730a〜nの1つのメモリモジュールにアクセスすることと、メモリモジュール730a〜nの別のモジュールが前のメモリ要求に応答することとが同時に行われることが可能である。たとえば、プロセッサ704は、システム内のメモリモジュール730a〜nの1つのメモリモジュールに書き込みデータを出力し、同時に、システム内のメモリモジュール730a〜nの別のメモリモジュールが読み出しデータをプロセッサ704に提供する準備をすることが可能である。さらに、メモリハブアーキテクチャは、コンピュータシステムのメモリ容量を大幅に増やすことも可能である。
本発明の実施形態は、メモリ素子748、メモリハブコントローラ728、またはメモリハブ740において利用可能である。図7に示すように、メモリハブ740は、本発明の実施形態による同期回路742を含む。前述のように、同期回路742を調節可能な遅延回路と用いることにより、異なるクロック領域のクロック信号のうちの1つが出力される調節可能な遅延回路の時間遅延が変更されても、それらのクロック信号間の擬似同期位相関係を維持することが可能である。メモリハブ740に関しては、メモリハブ740の内部動作を同期するために利用されるクロック信号の間に擬似同期関係があることが可能であり、外部動作(たとえば、メモリ素子748との動作)を同期するために利用されるクロック信号についても同様である。
本明細書において本発明の特定の実施形態を例示目的で説明してきたが、本発明の趣旨および範囲から逸脱することなく様々な変更が可能であることを、先述の内容から理解されたい。したがって、本発明は、添付の特許請求項によって限定される以外は限定されない。

Claims (28)

  1. 第1のクロック信号と、前記第1のクロック信号と同一位相であり前記第1のクロック信号よりも短周期の第2のクロック信号と、制御信号とに基づいて、第1のマルチプレクサを制御するための第1のマルチプレクサ選択信号と、第2のマルチプレクサを制御するための第2のマルチプレクサ選択信号とを出力する同期回路を備え、
    前記第1のマルチプレクサは、前記第2のクロック信号の入力と、グランドとに結合され、出力が遅延回路に接続されており、前記第1のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離し、
    前記第2のマルチプレクサは、前記遅延回路の出力と、グランドとに結合されており、前記第2のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離す
    クロックジェネレータにおいて、
    前記同期回路は、前記制御信号が入力された場合に、前記第1のマルチプレクサ選択信号および前記第2のマルチプレクサ選択信号を出力して前記遅延回路から前記第1および第2のマルチプレクサを切り離させ、
    前記遅延回路は、前記第1および第2のマルチプレクサが切り離されているときに時間遅延設定信号を入力した場合、当該時間遅延設定信号が示す時間遅延だけ遅延した信号を出力するように設定し、
    前記同期回路は、前記制御信号の入力が停止した場合に、前記第2のマルチプレクサ選択信号の出力を停止し、かつ、前記第1のクロック信号の立上りの前であって前記第2のクロックの立下りのときに前記第1のマルチプレクサ選択信号の出力を停止し、
    前記遅延回路は、前記第1のクロック信号の立上りの時に入力した前記第2のクロック信号について、前記時間遅延だけ遅延した前記第2のクロック信号を出力する
    ことを特徴とするクロックジェネレータ。
  2. 前記同期回路は、
    前記第1のマルチプレクサ選択信号を出力するための第1の出力部と、
    前記第2のマルチプレクサ選択信号を出力するための第2の出力部と、
    を備え、
    前記第1の出力部は、前記制御信号の入力に応答して前記第1のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第1のマルチプレクサ選択信号の出力を停止し、
    前記第2の出力部は、前記制御信号の入力に応答して前記第2のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第2のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項1に記載のクロックジェネレータ。
  3. 前記第2の出力部に前記制御信号が入力されたときに、前記第2の出力部は、前記第1の出力部にハイ信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ハイ信号に応答して、前記第1のマルチプレクサ選択信号を出力し、
    前記第2の出力部に前記制御信号の入力が停止されたときに、前記第2の出力部は、前記第1の出力部にロー信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ロー信号に応答して、前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項2に記載のクロックジェネレータ。
  4. 前記第1の出力部は、第1のDフリップフロップと、第2のDフリップフロップとを備え、
    前記第1のDフリップフロップは、前記ハイ信号が入力されているときに、前記第1のクロック信号と同位相の信号を出力し、前記ハイ信号の入力後であって前記ロー信号が入力されたときに、前記第1のクロック信号と同位相の信号の出力を停止し、
    前記第2のDフリップフロップは、前記ロー信号または前記ハイ信号と、前記第1のDフリップの出力とを入力するOR論理ゲートの出力を入力し、かつ、前記第2のクロック信号の反転出力に結合されており、前記ロー信号が入力され、前記第1のDフリップの出力が停止している場合に、前記第2のクロック信号の立下り時に前記前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項3に記載のクロックジェネレータ。
  5. 前記第2の出力部は、直列に結合された複数のポジティブエッジDフリップフロップを備え、
    前記ハイ信号または前記ロー信号は、前記直列に結合された複数のポジティブエッジDフリップフロップのうちの1つから出力される
    ことを特徴とする請求項3に記載のクロックジェネレータ。
  6. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の2倍であることを特徴とする請求項1に記載のクロックジェネレータ。
  7. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の4倍であることを特徴とする請求項1に記載のクロックジェネレータ。
  8. アドレスバスと、
    制御バスと、
    データバスと、
    前記アドレスバスに結合されたアドレスデコーダと、
    前記データバスに結合された読み出し/書き込み回路と、
    前記データバスに結合されたデータドライバ回路と、
    前記制御バスに結合された制御回路と、
    前記アドレスデコーダ、制御回路、および読み出し/書き込み回路に結合されたメモリセルアレイと、
    前記データドライバに結合され、内部クロック信号を与えるクロックジェネレータ
    を備え、
    前記クロックジェネレータは、
    第1のクロック信号と、前記第1のクロック信号と同一位相であり前記第1のクロック信号よりも短周期の第2のクロック信号と、制御信号とに基づいて、第1のマルチプレクサを制御するための第1のマルチプレクサ選択信号と、第2のマルチプレクサを制御するための第2のマルチプレクサ選択信号とを出力する同期回路を備え、
    前記第1のマルチプレクサは、前記第2のクロック信号の入力と、グランドとに結合され、出力が遅延回路に接続されており、前記第1のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離し、
    前記第2のマルチプレクサは、前記遅延回路の出力と、グランドとに結合されており、前記第2のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離す
    クロックジェネレータであって、
    前記同期回路は、前記制御信号が入力された場合に、前記第1のマルチプレクサ選択信号および前記第2のマルチプレクサ選択信号を出力して前記遅延回路から前記第1および第2のマルチプレクサを切り離させ、
    前記遅延回路は、前記第1および第2のマルチプレクサが切り離されているときに時間遅延設定信号を入力した場合、当該時間遅延設定信号が示す時間遅延だけ遅延した信号を出力するように設定し、
    前記同期回路は、前記制御信号の入力が停止した場合に、前記第2のマルチプレクサ選択信号の出力を停止し、かつ、前記第1のクロック信号の立上りの前であって前記第2のクロックの立下りのときに前記第1のマルチプレクサ選択信号の出力を停止し、
    前記遅延回路は、前記第1のクロック信号の立上りの時に入力した前記第2のクロック信号について、前記時間遅延だけ遅延した前記第2のクロック信号を出力する
    ことを特徴とするメモリ素子。
  9. 前記同期回路は、
    前記第1のマルチプレクサ選択信号を出力するための第1の出力部と、
    前記第2のマルチプレクサ選択信号を出力するための第2の出力部と、
    を備え、
    前記第1の出力部は、前記制御信号の入力に応答して前記第1のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第1のマルチプレクサ選択信号の出力を停止し、
    前記第2の出力部は、前記制御信号の入力に応答して前記第2のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第2のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項8に記載のメモリ素子。
  10. 前記第2の出力部に前記制御信号が入力されたときに、前記第2の出力部は、前記第1の出力部にハイ信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ハイ信号に応答して、前記第1のマルチプレクサ選択信号を出力し、
    前記第2の出力部に前記制御信号の入力が停止されたときに、前記第2の出力部は、前記第1の出力部にロー信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ロー信号に応答して、前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項9に記載のメモリ素子。
  11. 前記第1の出力部は、第1のDフリップフロップと、第2のDフリップフロップとを備え、
    前記第1のDフリップフロップは、前記ハイ信号が入力されているときに、前記第1のクロック信号と同位相の信号を出力し、前記ハイ信号の入力後であって前記ロー信号が入力されたときに、前記第1のクロック信号と同位相の信号の出力を停止し、
    前記第2のDフリップフロップは、前記ロー信号または前記ハイ信号と、前記第1のDフリップの出力とを入力するOR論理ゲートの出力を入力し、かつ、前記第2のクロック信号の反転出力に結合されており、前記ロー信号が入力され、前記第1のDフリップの出力が停止している場合に、前記第2のクロック信号の立下り時に前記前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項10に記載のメモリ素子。
  12. 前記第2の出力部は、直列に結合された複数のポジティブエッジDフリップフロップを備え、
    前記ハイ信号または前記ロー信号は、前記直列に結合された複数のポジティブエッジDフリップフロップのうちの1つから出力される
    ことを特徴とする請求項10に記載のメモリ素子。
  13. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の2倍であることを特徴とする請求項8に記載のメモリ素子。
  14. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の4倍であることを特徴とする請求項8に記載のメモリ素子。
  15. プロセッサバスを有するプロセッサと、
    前記プロセッサバスに結合され、ペリフェラルデバイスポートを有し、システムメモリポートに結合されたコントローラをさらに備えるシステムコントローラと、
    前記システムコントローラの前記ペリフェラルデバイスポートに結合された少なくとも1つの入力デバイスと、
    前記システムコントローラの前記ペリフェラルデバイスポートに結合された少なくとも1つの出力デバイスと、
    前記システムコントローラの前記ペリフェラルデバイスポートに結合された少なくとも1つのデータストレージデバイスと、
    前記システムコントローラに結合され、メモリ要求およびその応答を伝送するメモリバスと、
    前記メモリバスに結合された複数のメモリモジュール
    を備え、
    前記モジュールのそれぞれが、複数のメモリ素子と、前記メモリ素子にアクセスするためにメモリ素子バスを介して前記メモリ素子に結合されたメモリハブとを有し、
    前記メモリハブが、前記データドライバに結合され、内部クロック信号を与えるクロックジェネレータを備え、
    前記クロックジェネレータは、
    第1のクロック信号と、前記第1のクロック信号と同一位相であり前記第1のクロック信号よりも短周期の第2のクロック信号と、制御信号とに基づいて、第1のマルチプレクサを制御するための第1のマルチプレクサ選択信号と、第2のマルチプレクサを制御するための第2のマルチプレクサ選択信号とを出力する同期回路を備え、
    前記第1のマルチプレクサは、前記第2のクロック信号の入力と、グランドとに結合され、出力が遅延回路に接続されており、前記第1のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離し、
    前記第2のマルチプレクサは、前記遅延回路の出力と、グランドとに結合されており、前記第2のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離す
    クロックジェネレータであって、
    前記同期回路は、前記制御信号が入力された場合に、前記第1のマルチプレクサ選択信号および前記第2のマルチプレクサ選択信号を出力して前記遅延回路から前記第1および第2のマルチプレクサを切り離させ、
    前記遅延回路は、前記第1および第2のマルチプレクサが切り離されているときに時間遅延設定信号を入力した場合、当該時間遅延設定信号が示す時間遅延だけ遅延した信号を出力するように設定し、
    前記同期回路は、前記制御信号の入力が停止した場合に、前記第2のマルチプレクサ選択信号の出力を停止し、かつ、前記第1のクロック信号の立上りの前であって前記第2のクロックの立下りのときに前記第1のマルチプレクサ選択信号の出力を停止し、
    前記遅延回路は、前記第1のクロック信号の立上りの時に入力した前記第2のクロック信号について、前記時間遅延だけ遅延した前記第2のクロック信号を出力する
    ことを特徴とするプロセッサベースシステム。
  16. 前記同期回路は、
    前記第1のマルチプレクサ選択信号を出力するための第1の出力部と、
    前記第2のマルチプレクサ選択信号を出力するための第2の出力部と、
    を備え、
    前記第1の出力部は、前記制御信号の入力に応答して前記第1のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第1のマルチプレクサ選択信号の出力を停止し、
    前記第2の出力部は、前記制御信号の入力に応答して前記第2のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第2のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項15に記載のプロセッサベースシステム。
  17. 前記第2の出力部に前記制御信号が入力されたときに、前記第2の出力部は、前記第1の出力部にハイ信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ハイ信号に応答して、前記第1のマルチプレクサ選択信号を出力し、
    前記第2の出力部に前記制御信号の入力が停止されたときに、前記第2の出力部は、前記第1の出力部にロー信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ロー信号に応答して、前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項16に記載のプロセッサベースシステム。
  18. 前記第1の出力部は、第1のDフリップフロップと、第2のDフリップフロップとを備え、
    前記第1のDフリップフロップは、前記ハイ信号が入力されているときに、前記第1のクロック信号と同位相の信号を出力し、前記ハイ信号の入力後であって前記ロー信号が入力されたときに、前記第1のクロック信号と同位相の信号の出力を停止し、
    前記第2のDフリップフロップは、前記ロー信号または前記ハイ信号と、前記第1のDフリップの出力とを入力するOR論理ゲートの出力を入力し、かつ、前記第2のクロック信号の反転出力に結合されており、前記ロー信号が入力され、前記第1のDフリップの出力が停止している場合に、前記第2のクロック信号の立下り時に前記前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項17に記載のプロセッサベースシステム。
  19. 前記第2の出力部は、直列に結合された複数のポジティブエッジDフリップフロップを備え、
    前記ハイ信号または前記ロー信号は、前記直列に結合された複数のポジティブエッジDフリップフロップのうちの1つから出力される
    ことを特徴とする請求項17に記載のプロセッサベースシステム。
  20. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の2倍であることを特徴とする請求項15に記載のプロセッサベースシステム。
  21. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の4倍であることを特徴とする請求項15に記載のプロセッサベースシステム。
  22. メモリハブであって、
    前記メモリハブによってメモリ要求が受け取られる際とメモリ応答が与えられる際とに介されるメモリバスインターフェースと、
    前記メモリバスインターフェースに結合され、メモリ素子コマンド、ならびにそれぞれの信号が少なくとも1つの他の信号に対するタイミング関係を有するアドレス信号およびデータ信号が結合される際に介されるローカルメモリバスインターフェースと、
    前記ローカルメモリバスインターフェースに結合され、少なくとも1つの信号の前記タイミング関係を変更する遅延回路であって、入力端子および出力端子を有し、自身の時間遅延を設定する時間遅延設定信号が与えられる制御端子をさらに有する遅延回路と、
    第1のクロック信号と、前記第1のクロック信号と同一位相であり前記第1のクロック信号よりも短周期の第2のクロック信号と、制御信号とに基づいて、第1のマルチプレクサを制御するための第1のマルチプレクサ選択信号と、第2のマルチプレクサを制御するための第2のマルチプレクサ選択信号とを出力する同期回路と、
    を備え、
    前記第1のマルチプレクサは、前記第2のクロック信号の入力と、グランドとに結合され、出力が前記遅延回路に接続されており、前記第1のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離し、
    前記第2のマルチプレクサは、前記遅延回路の出力と、グランドとに結合されており、前記第2のマルチプレクサ選択信号が入力された場合にグランドを選択して前記遅延回路との接続を切り離し、
    前記同期回路は、前記制御信号が入力された場合に、前記第1のマルチプレクサ選択信号および前記第2のマルチプレクサ選択信号を出力して前記遅延回路から前記第1および第2のマルチプレクサを切り離させ、
    前記遅延回路は、前記第1および第2のマルチプレクサが切り離されているときに前記時間遅延設定信号を入力した場合、当該時間遅延設定信号が示す時間遅延だけ遅延した信号を出力するように設定し、
    前記同期回路は、前記制御信号の入力が停止した場合に、前記第2のマルチプレクサ選択信号の出力を停止し、かつ、前記第1のクロック信号の立上りの前であって前記第2のクロックの立下りのときに前記第1のマルチプレクサ選択信号の出力を停止し、
    前記遅延回路は、前記第1のクロック信号の立上りの時に入力した前記第2のクロック信号について、前記時間遅延だけ遅延した前記第2のクロック信号を出力する
    ことを特徴とするメモリハブ。
  23. 前記同期回路は、
    前記第1のマルチプレクサ選択信号を出力するための第1の出力部と、
    前記第2のマルチプレクサ選択信号を出力するための第2の出力部と、
    を備え、
    前記第1の出力部は、前記制御信号の入力に応答して前記第1のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第1のマルチプレクサ選択信号の出力を停止し、
    前記第2の出力部は、前記制御信号の入力に応答して前記第2のマルチプレクサ選択信号を出力し、かつ、前記制御信号の入力の停止に応答して前記第2のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求22に記載のメモリハブ。
  24. 前記第2の出力部に前記制御信号が入力されたときに、前記第2の出力部は、前記第1の出力部にハイ信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ハイ信号に応答して、前記第1のマルチプレクサ選択信号を出力し、
    前記第2の出力部に前記制御信号の入力が停止されたときに、前記第2の出力部は、前記第1の出力部にロー信号を供給し、前記第1の出力部は、前記第2の出力部から供給される前記ロー信号に応答して、前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項23に記載のメモリハブ。
  25. 前記第1の出力部は、第1のDフリップフロップと、第2のDフリップフロップとを備え、
    前記第1のDフリップフロップは、前記ハイ信号が入力されているときに、前記第1のクロック信号と同位相の信号を出力し、前記ハイ信号の入力後であって前記ロー信号が入力されたときに、前記第1のクロック信号と同位相の信号の出力を停止し、
    前記第2のDフリップフロップは、前記ロー信号または前記ハイ信号と、前記第1のDフリップの出力とを入力するOR論理ゲートの出力を入力し、かつ、前記第2のクロック信号の反転出力に結合されており、前記ロー信号が入力され、前記第1のDフリップの出力が停止している場合に、前記第2のクロック信号の立下り時に前記前記第1のマルチプレクサ選択信号の出力を停止する
    ことを特徴とする請求項24に記載のメモリハブ。
  26. 前記第2の出力部は、直列に結合された複数のポジティブエッジDフリップフロップを備え、
    前記ハイ信号または前記ロー信号は、前記直列に結合された複数のポジティブエッジDフリップフロップのうちの1つから出力される
    ことを特徴とする請求項24に記載のメモリハブ。
  27. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の2倍であることを特徴とする請求項22に記載のメモリハブ。
  28. 前記第2のクロック信号のクロック周波数は、前記第1のクロック信号のクロック周波
    数の4倍であることを特徴とする請求項22に記載のメモリハブ。
JP2011019010A 2004-04-05 2011-01-31 クロックジェネレータ Expired - Fee Related JP5309286B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/819,366 US6980042B2 (en) 2004-04-05 2004-04-05 Delay line synchronizer apparatus and method
US10/819,366 2004-04-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007507330A Division JP5044849B2 (ja) 2004-04-05 2005-03-18 遅延線同期装置および方法

Publications (2)

Publication Number Publication Date
JP2011125057A JP2011125057A (ja) 2011-06-23
JP5309286B2 true JP5309286B2 (ja) 2013-10-09

Family

ID=35053597

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007507330A Expired - Fee Related JP5044849B2 (ja) 2004-04-05 2005-03-18 遅延線同期装置および方法
JP2011019010A Expired - Fee Related JP5309286B2 (ja) 2004-04-05 2011-01-31 クロックジェネレータ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007507330A Expired - Fee Related JP5044849B2 (ja) 2004-04-05 2005-03-18 遅延線同期装置および方法

Country Status (7)

Country Link
US (3) US6980042B2 (ja)
EP (1) EP1735680B1 (ja)
JP (2) JP5044849B2 (ja)
KR (1) KR100813424B1 (ja)
CN (1) CN1965282A (ja)
TW (1) TW200541216A (ja)
WO (1) WO2005101164A2 (ja)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7133972B2 (en) 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
US7149874B2 (en) * 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US6820181B2 (en) 2002-08-29 2004-11-16 Micron Technology, Inc. Method and system for controlling memory accesses to memory modules having a memory hub architecture
US7120727B2 (en) 2003-06-19 2006-10-10 Micron Technology, Inc. Reconfigurable memory module and method
US7260685B2 (en) 2003-06-20 2007-08-21 Micron Technology, Inc. Memory hub and access method having internal prefetch buffers
US7107415B2 (en) * 2003-06-20 2006-09-12 Micron Technology, Inc. Posted write buffers and methods of posting write requests in memory modules
US7133991B2 (en) * 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7120743B2 (en) * 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
US7330992B2 (en) 2003-12-29 2008-02-12 Micron Technology, Inc. System and method for read synchronization of memory modules
US7188219B2 (en) 2004-01-30 2007-03-06 Micron Technology, Inc. Buffer control system and method for a memory system having outstanding read and write request buffers
US7412574B2 (en) * 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US7404128B2 (en) * 2004-02-17 2008-07-22 Texas Instruments Incorporated Serial data I/O on JTAG TCK with TMS clocking
US7366864B2 (en) 2004-03-08 2008-04-29 Micron Technology, Inc. Memory hub architecture having programmable lane widths
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7447240B2 (en) * 2004-03-29 2008-11-04 Micron Technology, Inc. Method and system for synchronizing communications links in a hub-based memory system
US6980042B2 (en) 2004-04-05 2005-12-27 Micron Technology, Inc. Delay line synchronizer apparatus and method
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7519788B2 (en) 2004-06-04 2009-04-14 Micron Technology, Inc. System and method for an asynchronous data buffer having buffer write and read pointers
US7542322B2 (en) * 2004-09-30 2009-06-02 Intel Corporation Buffered continuous multi-drop clock ring
US7793021B2 (en) * 2006-01-05 2010-09-07 Freescale Semiconductor, Inc. Method for synchronizing a transmission of information and a device having synchronizing capabilities
US7602874B2 (en) * 2006-01-26 2009-10-13 International Business Machines Corporation Providing accurate time-based counters for scaling operating frequencies of microprocessors
KR100801741B1 (ko) * 2006-06-29 2008-02-11 주식회사 하이닉스반도체 지연고정루프
DE102007020005B3 (de) 2007-04-27 2008-10-09 Texas Instruments Deutschland Gmbh Integrierte Schaltung zur Takterzeugung für Speicherbausteine
US7613060B2 (en) * 2007-05-21 2009-11-03 Micron Technology, Inc. Methods, circuits, and systems to select memory regions
US7607061B2 (en) * 2007-07-30 2009-10-20 Global Founderies Inc. Shrink test mode to identify Nth order speed paths
US7586799B2 (en) * 2007-09-27 2009-09-08 Micron Technology, Inc. Devices, systems, and methods for independent output drive strengths
US7863931B1 (en) * 2007-11-14 2011-01-04 Lattice Semiconductor Corporation Flexible delay cell architecture
US7692462B2 (en) * 2008-01-25 2010-04-06 Himax Technologies Limited Delay-locked loop and a stabilizing method thereof
US8095707B2 (en) * 2008-08-19 2012-01-10 Integrated Device Technology, Inc. Method for synchronization of peripherals with a central processing unit in an embedded system
TW201009586A (en) * 2008-08-27 2010-03-01 Macroblock Inc Coordinated operation circuit
WO2010037205A1 (en) * 2008-09-30 2010-04-08 Mosaid Technologies Incorporated Serial-connected memory system with output delay adjustment
TWI394157B (zh) * 2008-12-19 2013-04-21 Nanya Technology Corp 延遲線以及使用此延遲線的記憶體控制電路
US7948817B2 (en) * 2009-02-27 2011-05-24 International Business Machines Corporation Advanced memory device having reduced power and improved performance
TWI381635B (zh) * 2009-05-20 2013-01-01 Univ Nat Kaohsiung Applied Sci 自動時間延遲修正電路單元
US8421499B2 (en) * 2010-02-15 2013-04-16 Apple Inc. Power switch ramp rate control using programmable connection to switches
US8362805B2 (en) * 2010-02-15 2013-01-29 Apple Inc. Power switch ramp rate control using daisy-chained flops
US8504967B2 (en) 2010-09-10 2013-08-06 Apple Inc. Configurable power switch cells and methodology
US8862955B2 (en) 2010-12-29 2014-10-14 Stmicroelectronics S.R.L. Apparatus for at-speed testing, in inter-domain mode, of a multi-clock-domain digital integrated circuit according to BIST or SCAN techniques
US8462034B2 (en) * 2011-07-14 2013-06-11 Synopsys, Inc. Synchronous switching in high-speed digital-to-analog converter using quad synchronizing latch
TWI448030B (zh) * 2011-08-02 2014-08-01 Yinghwi Chang 突波消除電路
KR101804521B1 (ko) * 2011-08-16 2017-12-07 에스케이하이닉스 주식회사 집적회로 칩, 이를 포함하는 시스템 및 동작방법, 메모리 및 메모리 시스템
US8984464B1 (en) * 2011-11-21 2015-03-17 Tabula, Inc. Detailed placement with search and repair
CN103631315A (zh) * 2012-08-22 2014-03-12 上海华虹集成电路有限责任公司 便于时序修复的时钟设计方法
US8760204B2 (en) * 2012-11-20 2014-06-24 Nvidia Corporation Variation-tolerant periodic synchronizer
US9178519B2 (en) 2014-02-06 2015-11-03 Freescale Semiconductor, Inc. Clock signal generator
CN103888269B (zh) * 2014-03-04 2017-02-15 南京磐能电力科技股份有限公司 一种可编程网络延时器的实现方法
WO2015161431A1 (zh) * 2014-04-22 2015-10-29 京微雅格(北京)科技有限公司 Lvds数据恢复方法及电路
US9209961B1 (en) * 2014-09-29 2015-12-08 Apple Inc. Method and apparatus for delay compensation in data transmission
US9564898B2 (en) 2015-02-13 2017-02-07 Apple Inc. Power switch ramp rate control using selectable daisy-chained connection of enable to power switches or daisy-chained flops providing enables
KR20170034578A (ko) * 2015-09-21 2017-03-29 에스케이하이닉스 주식회사 레귤레이터, 이를 포함하는 메모리 시스템 및 이의 동작 방법
US10019170B2 (en) * 2016-03-30 2018-07-10 Micron Technology, Inc. Controlling timing and edge transition of a delayed clock signal and data latching methods using such a delayed clock signal
KR102570959B1 (ko) * 2018-09-18 2023-08-28 에스케이하이닉스 주식회사 집적 회로
CN111290556B (zh) * 2019-02-19 2021-12-03 展讯通信(上海)有限公司 数据处理装置及方法
KR20210081081A (ko) * 2019-12-23 2021-07-01 에스케이하이닉스 주식회사 송신 활성화 신호 생성 회로 및 집적회로
US11262786B1 (en) * 2020-12-16 2022-03-01 Silicon Laboratories Inc. Data delay compensator circuit
US11983032B2 (en) * 2022-04-12 2024-05-14 Synopsys, Inc. Path margin monitor integration with integrated circuit
TWI812227B (zh) * 2022-05-18 2023-08-11 華邦電子股份有限公司 半導體記憶裝置及其控制方法

Family Cites Families (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3742253A (en) * 1971-03-15 1973-06-26 Burroughs Corp Three state logic device with applications
US4045781A (en) 1976-02-13 1977-08-30 Digital Equipment Corporation Memory module with selectable byte addressing for digital data processing system
US4253146A (en) * 1978-12-21 1981-02-24 Burroughs Corporation Module for coupling computer-processors
US4245306A (en) * 1978-12-21 1981-01-13 Burroughs Corporation Selection of addressed processor in a multi-processor network
US4253144A (en) * 1978-12-21 1981-02-24 Burroughs Corporation Multi-processor communication network
US4240143A (en) 1978-12-22 1980-12-16 Burroughs Corporation Hierarchical multi-processor network for memory sharing
JPS6059814A (ja) * 1983-09-12 1985-04-06 Hitachi Ltd プログラマブル遅延回路およびこれを用いた半導体集積回路装置
US4608702A (en) * 1984-12-21 1986-08-26 Advanced Micro Devices, Inc. Method for digital clock recovery from Manchester-encoded signals
US4724520A (en) * 1985-07-01 1988-02-09 United Technologies Corporation Modular multiport data hub
US4843263A (en) * 1986-01-10 1989-06-27 Nec Corporation Clock timing controller for a plurality of LSI chips
US4707823A (en) 1986-07-21 1987-11-17 Chrysler Motors Corporation Fiber optic multiplexed data acquisition system
US4831520A (en) * 1987-02-24 1989-05-16 Digital Equipment Corporation Bus interface circuit for digital data processor
JPH07117863B2 (ja) * 1987-06-26 1995-12-18 株式会社日立製作所 オンラインシステムの再立上げ方式
JPH01161912A (ja) * 1987-12-18 1989-06-26 Toshiba Corp 半導体集積回路
US4891808A (en) * 1987-12-24 1990-01-02 Coherent Communication Systems Corp. Self-synchronizing multiplexer
US5251303A (en) 1989-01-13 1993-10-05 International Business Machines Corporation System for DMA block data transfer based on linked control blocks
US5442770A (en) 1989-01-24 1995-08-15 Nec Electronics, Inc. Triple port cache memory
US4953930A (en) 1989-03-15 1990-09-04 Ramtech, Inc. CPU socket supporting socket-to-socket optical communications
JPH03156795A (ja) 1989-11-15 1991-07-04 Toshiba Micro Electron Kk 半導体メモリ回路装置
US5327553A (en) * 1989-12-22 1994-07-05 Tandem Computers Incorporated Fault-tolerant computer system with /CONFIG filesystem
US5317752A (en) * 1989-12-22 1994-05-31 Tandem Computers Incorporated Fault-tolerant computer system with auto-restart after power-fall
US5313590A (en) * 1990-01-05 1994-05-17 Maspar Computer Corporation System having fixedly priorized and grouped by positions I/O lines for interconnecting router elements in plurality of stages within parrallel computer
JP2772103B2 (ja) 1990-03-28 1998-07-02 株式会社東芝 計算機システム立上げ方式
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5243703A (en) 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
US5313752A (en) * 1991-01-11 1994-05-24 Fero Holdings Limited Wall framing system
US5461627A (en) 1991-12-24 1995-10-24 Rypinski; Chandos A. Access protocol for a common channel wireless network
JPH05191233A (ja) * 1992-01-13 1993-07-30 Toshiba Corp 遅延素子
JP2554816B2 (ja) 1992-02-20 1996-11-20 株式会社東芝 半導体記憶装置
US5355391A (en) 1992-03-06 1994-10-11 Rambus, Inc. High speed bus system
JP3517237B2 (ja) * 1992-03-06 2004-04-12 ラムバス・インコーポレーテッド 同期バス・システムおよびそのためのメモリ装置
AU3936693A (en) 1992-03-25 1993-10-21 Encore Computer U.S., Inc. Fiber optic memory coupling system
US5432907A (en) * 1992-05-12 1995-07-11 Network Resources Corporation Network hub with integrated bridge
US5270964A (en) 1992-05-19 1993-12-14 Sun Microsystems, Inc. Single in-line memory module
US5821885A (en) 1994-07-29 1998-10-13 Discovision Associates Video decompression
JPH0645889A (ja) * 1992-07-27 1994-02-18 Advantest Corp 可変遅延回路
GB2270780A (en) * 1992-09-21 1994-03-23 Ibm Scatter-gather in data processing systems.
JPH06104707A (ja) * 1992-09-24 1994-04-15 Canon Inc 遅延装置
JPH0713945A (ja) 1993-06-16 1995-01-17 Nippon Sheet Glass Co Ltd 演算処理部および制御・記憶部分離型マルチプロセッサ ・システムのバス構造
US5497494A (en) 1993-07-23 1996-03-05 International Business Machines Corporation Method for saving and restoring the state of a CPU executing code in protected mode
US5729709A (en) * 1993-11-12 1998-03-17 Intel Corporation Memory controller with burst addressing circuit
US5570294A (en) * 1994-03-11 1996-10-29 Advanced Micro Devices Circuit configuration employing a compare unit for testing variably controlled delay units
US5502621A (en) * 1994-03-31 1996-03-26 Hewlett-Packard Company Mirrored pin assignment for two sided multi-chip layout
US5566325A (en) 1994-06-30 1996-10-15 Digital Equipment Corporation Method and apparatus for adaptive memory access
JP2725601B2 (ja) * 1994-07-11 1998-03-11 日本電気株式会社 入出力バッファ
US6175571B1 (en) * 1994-07-22 2001-01-16 Network Peripherals, Inc. Distributed memory switching hub
US5978567A (en) 1994-07-27 1999-11-02 Instant Video Technologies Inc. System for distribution of interactive multimedia and linear programs by enabling program webs which include control scripts to define presentation by client transceiver
US5553070A (en) * 1994-09-13 1996-09-03 Riley; Robert E. Data link module for time division multiplexing control systems
JPH08123717A (ja) 1994-10-25 1996-05-17 Oki Electric Ind Co Ltd 半導体記憶装置
US6804760B2 (en) 1994-12-23 2004-10-12 Micron Technology, Inc. Method for determining a type of memory present in a system
US5715456A (en) * 1995-02-13 1998-02-03 International Business Machines Corporation Method and apparatus for booting a computer system without pre-installing an operating system
US5638534A (en) * 1995-03-31 1997-06-10 Samsung Electronics Co., Ltd. Memory controller which executes read and write commands out of order
US5875352A (en) * 1995-11-03 1999-02-23 Sun Microsystems, Inc. Method and apparatus for multiple channel direct memory access control
US5796413A (en) 1995-12-06 1998-08-18 Compaq Computer Corporation Graphics controller utilizing video memory to provide macro command capability and enhanched command buffering
US5834956A (en) * 1995-12-29 1998-11-10 Intel Corporation Core clock correction in a 2/N mode clocking scheme
US7681005B1 (en) 1996-01-11 2010-03-16 Micron Technology, Inc. Asynchronously-accessible memory device with mode selection circuitry for burst or pipelined operation
US5966724A (en) 1996-01-11 1999-10-12 Micron Technology, Inc. Synchronous memory device with dual page and burst mode operations
US5710733A (en) * 1996-01-22 1998-01-20 Silicon Graphics, Inc. Processor-inclusive memory module
US5832250A (en) 1996-01-26 1998-11-03 Unisys Corporation Multi set cache structure having parity RAMs holding parity bits for tag data and for status data utilizing prediction circuitry that predicts and generates the needed parity bits
US5819304A (en) 1996-01-29 1998-10-06 Iowa State University Research Foundation, Inc. Random access memory assembly
US5659798A (en) 1996-02-02 1997-08-19 Blumrich; Matthias Augustin Method and system for initiating and loading DMA controller registers by using user-level programs
US5799048A (en) * 1996-04-17 1998-08-25 Sun Microsystems, Inc. Phase detector for clock synchronization and recovery
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US5818844A (en) 1996-06-06 1998-10-06 Advanced Micro Devices, Inc. Address generation and data path arbitration to and from SRAM to accommodate multiple transmitted packets
US5875454A (en) * 1996-07-24 1999-02-23 International Business Machiness Corporation Compressed data cache storage system
JPH1049511A (ja) 1996-08-02 1998-02-20 Oki Electric Ind Co Ltd 1チップマイクロコンピュータ
JP4070255B2 (ja) 1996-08-13 2008-04-02 富士通株式会社 半導体集積回路
TW304288B (en) * 1996-08-16 1997-05-01 United Microelectronics Corp Manufacturing method of semiconductor memory device with capacitor
US5706224A (en) * 1996-10-10 1998-01-06 Quality Semiconductor, Inc. Content addressable memory and random access memory partition circuit
US6272600B1 (en) 1996-11-15 2001-08-07 Hyundai Electronics America Memory request reordering in a data processing system
US6167486A (en) 1996-11-18 2000-12-26 Nec Electronics, Inc. Parallel access virtual channel memory system with cacheable channels
US5887159A (en) * 1996-12-11 1999-03-23 Digital Equipment Corporation Dynamically determining instruction hint fields
EP0849685A3 (en) 1996-12-19 2000-09-06 Texas Instruments Incorporated Communication bus system between processors and memory modules
US6308248B1 (en) * 1996-12-31 2001-10-23 Compaq Computer Corporation Method and system for allocating memory space using mapping controller, page table and frame numbers
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US6271582B1 (en) 1997-04-07 2001-08-07 Micron Technology, Inc. Interdigitated leads-over-chip lead frame, device, and method for supporting an integrated circuit die
US5946712A (en) 1997-06-04 1999-08-31 Oak Technology, Inc. Apparatus and method for reading data from synchronous memory
US6092158A (en) * 1997-06-13 2000-07-18 Intel Corporation Method and apparatus for arbitrating between command streams
US6044429A (en) 1997-07-10 2000-03-28 Micron Technology, Inc. Method and apparatus for collision-free data transfers in a memory device with selectable data or address paths
US6073190A (en) * 1997-07-18 2000-06-06 Micron Electronics, Inc. System for dynamic buffer allocation comprising control logic for controlling a first address buffer and a first data buffer as a matched pair
US6243769B1 (en) * 1997-07-18 2001-06-05 Micron Technology, Inc. Dynamic buffer allocation for a computer system
US6760833B1 (en) 1997-08-01 2004-07-06 Micron Technology, Inc. Split embedded DRAM processor
US6105075A (en) 1997-08-05 2000-08-15 Adaptec, Inc. Scatter gather memory system for a hardware accelerated command interpreter engine
JP4014708B2 (ja) 1997-08-21 2007-11-28 株式会社ルネサステクノロジ 半導体集積回路装置の設計方法
US6128703A (en) 1997-09-05 2000-10-03 Integrated Device Technology, Inc. Method and apparatus for memory prefetch operation of volatile non-coherent data
US6249802B1 (en) * 1997-09-19 2001-06-19 Silicon Graphics, Inc. Method, system, and computer program product for allocating physical memory in a distributed shared memory network
US6185676B1 (en) * 1997-09-30 2001-02-06 Intel Corporation Method and apparatus for performing early branch prediction in a microprocessor
US6223301B1 (en) * 1997-09-30 2001-04-24 Compaq Computer Corporation Fault tolerant memory
JP4484359B2 (ja) * 1997-10-10 2010-06-16 ラムバス・インコーポレーテッド 最小限の待ち時間とフェイルセーフ再同期化するための方法および装置
JPH11120120A (ja) 1997-10-13 1999-04-30 Fujitsu Ltd カードバス用インターフェース回路及びそれを有するカードバス用pcカード
FR2770008B1 (fr) 1997-10-16 2001-10-12 Alsthom Cge Alkatel Dispositif de communication entre plusieurs processeurs
US5987196A (en) 1997-11-06 1999-11-16 Micron Technology, Inc. Semiconductor structure having an optical signal path in a substrate and method for forming the same
US6098158A (en) 1997-12-18 2000-08-01 International Business Machines Corporation Software-enabled fast boot
US6014721A (en) * 1998-01-07 2000-01-11 International Business Machines Corporation Method and system for transferring data between buses having differing ordering policies
US6023726A (en) * 1998-01-20 2000-02-08 Netscape Communications Corporation User configurable prefetch control system for enabling client to prefetch documents from a network server
US6721860B2 (en) * 1998-01-29 2004-04-13 Micron Technology, Inc. Method for bus capacitance reduction
GB2333896B (en) * 1998-01-31 2003-04-09 Mitel Semiconductor Ab Vertical cavity surface emitting laser
US6742098B1 (en) * 2000-10-03 2004-05-25 Intel Corporation Dual-port buffer-to-memory interface
US7024518B2 (en) 1998-02-13 2006-04-04 Intel Corporation Dual-port buffer-to-memory interface
US6186400B1 (en) * 1998-03-20 2001-02-13 Symbol Technologies, Inc. Bar code reader with an integrated scanning component module mountable on printed circuit board
US6038630A (en) * 1998-03-24 2000-03-14 International Business Machines Corporation Shared access control device for integrated system with multiple functional units accessing external structures over multiple data buses
US6079008A (en) * 1998-04-03 2000-06-20 Patton Electronics Co. Multiple thread multiple data predictive coded parallel processing system and method
US6247107B1 (en) * 1998-04-06 2001-06-12 Advanced Micro Devices, Inc. Chipset configured to perform data-directed prefetching
JPH11316617A (ja) 1998-05-01 1999-11-16 Mitsubishi Electric Corp 半導体回路装置
KR100283243B1 (ko) 1998-05-11 2001-03-02 구자홍 운영체제의 부팅방법
US6167465A (en) 1998-05-20 2000-12-26 Aureal Semiconductor, Inc. System for managing multiple DMA connections between a peripheral device and a memory and performing real-time operations on data carried by a selected DMA connection
TW434756B (en) 1998-06-01 2001-05-16 Hitachi Ltd Semiconductor device and its manufacturing method
US6405280B1 (en) * 1998-06-05 2002-06-11 Micron Technology, Inc. Packet-oriented synchronous DRAM interface supporting a plurality of orderings for data block transfers within a burst sequence
US6301637B1 (en) 1998-06-08 2001-10-09 Storage Technology Corporation High performance data paths
US6134624A (en) 1998-06-08 2000-10-17 Storage Technology Corporation High bandwidth cache system
US6067649A (en) * 1998-06-10 2000-05-23 Compaq Computer Corporation Method and apparatus for a low power self test of a memory subsystem
US6453377B1 (en) * 1998-06-16 2002-09-17 Micron Technology, Inc. Computer including optical interconnect, memory unit, and method of assembling a computer
US6289068B1 (en) * 1998-06-22 2001-09-11 Xilinx, Inc. Delay lock loop with clock phase shifter
JP2000011640A (ja) 1998-06-23 2000-01-14 Nec Corp 半導体記憶装置
FR2780535B1 (fr) * 1998-06-25 2000-08-25 Inst Nat Rech Inf Automat Dispositif de traitement de donnees d'acquisition, notamment de donnees d'image
JP3178423B2 (ja) 1998-07-03 2001-06-18 日本電気株式会社 バーチャルチャネルsdram
US6286083B1 (en) 1998-07-08 2001-09-04 Compaq Computer Corporation Computer system with adaptive memory arbitration scheme
US7065050B1 (en) * 1998-07-08 2006-06-20 Broadcom Corporation Apparatus and method for controlling data flow in a network switch
JP3248617B2 (ja) * 1998-07-14 2002-01-21 日本電気株式会社 半導体記憶装置
US6272609B1 (en) 1998-07-31 2001-08-07 Micron Electronics, Inc. Pipelined memory controller
US6061296A (en) * 1998-08-17 2000-05-09 Vanguard International Semiconductor Corporation Multiple data clock activation with programmable delay for use in multiple CAS latency memory devices
US6219725B1 (en) * 1998-08-28 2001-04-17 Hewlett-Packard Company Method and apparatus for performing direct memory access transfers involving non-sequentially-addressable memory locations
US6029250A (en) * 1998-09-09 2000-02-22 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing offset between a clock signal and digital signals transmitted coincident with that clock signal, and memory device and system using same
US6622188B1 (en) 1998-09-30 2003-09-16 International Business Machines Corporation 12C bus expansion apparatus and method therefor
US6587912B2 (en) 1998-09-30 2003-07-01 Intel Corporation Method and apparatus for implementing multiple memory buses on a memory module
US6910109B2 (en) * 1998-09-30 2005-06-21 Intel Corporation Tracking memory page state
US6243831B1 (en) * 1998-10-31 2001-06-05 Compaq Computer Corporation Computer system with power loss protection mechanism
JP3248500B2 (ja) * 1998-11-12 2002-01-21 日本電気株式会社 半導体記憶装置およびそのデータ読み出し方法
US6434639B1 (en) 1998-11-13 2002-08-13 Intel Corporation System for combining requests associated with one or more memory locations that are collectively associated with a single cache line to furnish a single memory operation
US6438622B1 (en) 1998-11-17 2002-08-20 Intel Corporation Multiprocessor system including a docking system
US6100735A (en) * 1998-11-19 2000-08-08 Centillium Communications, Inc. Segmented dual delay-locked loop for precise variable-phase clock generation
US6430696B1 (en) 1998-11-30 2002-08-06 Micron Technology, Inc. Method and apparatus for high speed data capture utilizing bit-to-bit timing correction, and memory device using same
US6463059B1 (en) 1998-12-04 2002-10-08 Koninklijke Philips Electronics N.V. Direct memory access execution engine with indirect addressing of circular queues in addition to direct memory addressing
US6349363B2 (en) * 1998-12-08 2002-02-19 Intel Corporation Multi-section cache with different attributes for each section
US6374360B1 (en) 1998-12-11 2002-04-16 Micron Technology, Inc. Method and apparatus for bit-to-bit timing correction of a high speed memory bus
US6067262A (en) * 1998-12-11 2000-05-23 Lsi Logic Corporation Redundancy analysis for embedded memories with built-in self test and built-in self repair
FR2787600B1 (fr) 1998-12-17 2001-11-16 St Microelectronics Sa Memoire tampon associee a plusieurs canaux de communication de donnees
US6487556B1 (en) 1998-12-18 2002-11-26 International Business Machines Corporation Method and system for providing an associative datastore within a data processing system
US6191663B1 (en) * 1998-12-22 2001-02-20 Intel Corporation Echo reduction on bit-serial, multi-drop bus
US6367074B1 (en) * 1998-12-28 2002-04-02 Intel Corporation Operation of a system
US6598154B1 (en) 1998-12-29 2003-07-22 Intel Corporation Precoding branch instructions to reduce branch-penalty in pipelined processors
US6061263A (en) * 1998-12-29 2000-05-09 Intel Corporation Small outline rambus in-line memory module
US6324485B1 (en) 1999-01-26 2001-11-27 Newmillennia Solutions, Inc. Application specific automated test equipment system for testing integrated circuit devices in a native environment
CN1691331A (zh) 1999-02-01 2005-11-02 株式会社日立制作所 半导体集成电路器件
US6327650B1 (en) 1999-02-12 2001-12-04 Vsli Technology, Inc. Pipelined multiprocessing with upstream processor concurrently writing to local register and to register of downstream processor
US6285349B1 (en) 1999-02-26 2001-09-04 Intel Corporation Correcting non-uniformity in displays
JP3630291B2 (ja) * 1999-03-01 2005-03-16 シャープ株式会社 タイミング発生回路
US6564329B1 (en) * 1999-03-16 2003-05-13 Linkup Systems Corporation System and method for dynamic clock generation
US6389514B1 (en) * 1999-03-25 2002-05-14 Hewlett-Packard Company Method and computer system for speculatively closing pages in memory
US6496909B1 (en) 1999-04-06 2002-12-17 Silicon Graphics, Inc. Method for managing concurrent access to virtual memory data structures
US6433785B1 (en) 1999-04-09 2002-08-13 Intel Corporation Method and apparatus for improving processor to graphics device throughput
US6381190B1 (en) * 1999-05-13 2002-04-30 Nec Corporation Semiconductor memory device in which use of cache can be selected
US6233376B1 (en) * 1999-05-18 2001-05-15 The United States Of America As Represented By The Secretary Of The Navy Embedded fiber optic circuit boards and integrated circuits
US6449308B1 (en) 1999-05-25 2002-09-10 Intel Corporation High-speed digital distribution system
US6294937B1 (en) 1999-05-25 2001-09-25 Lsi Logic Corporation Method and apparatus for self correcting parallel I/O circuitry
JP3721283B2 (ja) 1999-06-03 2005-11-30 株式会社日立製作所 主記憶共有型マルチプロセッサシステム
JP2001014840A (ja) * 1999-06-24 2001-01-19 Nec Corp 複数ラインバッファ型メモリlsi
US6434736B1 (en) 1999-07-08 2002-08-13 Intel Corporation Location based timing scheme in memory design
US6401213B1 (en) * 1999-07-09 2002-06-04 Micron Technology, Inc. Timing circuit for high speed memory
US6477592B1 (en) 1999-08-06 2002-11-05 Integrated Memory Logic, Inc. System for I/O interfacing for semiconductor chip utilizing addition of reference element to each data element in first data stream and interpret to recover data elements of second data stream
US6629220B1 (en) 1999-08-20 2003-09-30 Intel Corporation Method and apparatus for dynamic arbitration between a first queue and a second queue based on a high priority transaction type
US6493803B1 (en) 1999-08-23 2002-12-10 Advanced Micro Devices, Inc. Direct memory access controller with channel width configurability support
US6539490B1 (en) * 1999-08-30 2003-03-25 Micron Technology, Inc. Clock distribution without clock delay or skew
US6552564B1 (en) * 1999-08-30 2003-04-22 Micron Technology, Inc. Technique to reduce reflections and ringing on CMOS interconnections
US6307769B1 (en) 1999-09-02 2001-10-23 Micron Technology, Inc. Semiconductor devices having mirrored terminal arrangements, devices including same, and methods of testing such semiconductor devices
US6594713B1 (en) 1999-09-10 2003-07-15 Texas Instruments Incorporated Hub interface unit and application unit interfaces for expanded direct memory access processor
US6438668B1 (en) 1999-09-30 2002-08-20 Apple Computer, Inc. Method and apparatus for reducing power consumption in a digital processing system
US6636912B2 (en) 1999-10-07 2003-10-21 Intel Corporation Method and apparatus for mode selection in a computer system
US6421744B1 (en) 1999-10-25 2002-07-16 Motorola, Inc. Direct memory access controller and method therefor
KR100319292B1 (ko) 1999-12-02 2002-01-05 윤종용 빠른 부팅 속도를 갖는 컴퓨터 시스템 및 그 방법
US6501471B1 (en) 1999-12-13 2002-12-31 Intel Corporation Volume rendering
JP3546788B2 (ja) * 1999-12-20 2004-07-28 日本電気株式会社 メモリ制御回路
JP3356747B2 (ja) 1999-12-22 2002-12-16 エヌイーシーマイクロシステム株式会社 半導体記憶装置
US6496193B1 (en) 1999-12-30 2002-12-17 Intel Corporation Method and apparatus for fast loading of texture data into a tiled memory
US6628294B1 (en) 1999-12-31 2003-09-30 Intel Corporation Prefetching of virtual-to-physical address translation for display data
KR100343383B1 (ko) * 2000-01-05 2002-07-15 윤종용 반도체 메모리 장치 및 이 장치의 데이터 샘플링 방법
US6297702B1 (en) * 2000-01-10 2001-10-02 Honeywell International Inc. Phase lock loop system and method
US6745275B2 (en) * 2000-01-25 2004-06-01 Via Technologies, Inc. Feedback system for accomodating different memory module loading
TW439363B (en) * 2000-01-26 2001-06-07 Via Tech Inc Delay device using a phase lock circuit for calibrating and its calibrating method
US6823023B1 (en) 2000-01-31 2004-11-23 Intel Corporation Serial bus communication system
US6185352B1 (en) * 2000-02-24 2001-02-06 Siecor Operations, Llc Optical fiber ribbon fan-out cables
JP2001265539A (ja) 2000-03-16 2001-09-28 Fuji Xerox Co Ltd アレイ型記憶装置及び情報処理システム
JP2001274323A (ja) * 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置とそれを搭載した半導体モジュール、および半導体装置の製造方法
US6370611B1 (en) * 2000-04-04 2002-04-09 Compaq Computer Corporation Raid XOR operations to synchronous DRAM using a read buffer and pipelining of synchronous DRAM burst read data
US6518800B2 (en) * 2000-05-31 2003-02-11 Texas Instruments Incorporated System and method for reducing timing mismatch in sample and hold circuits using the clock
US6728800B1 (en) * 2000-06-28 2004-04-27 Intel Corporation Efficient performance based scheduling mechanism for handling multiple TLB operations
US6594722B1 (en) 2000-06-29 2003-07-15 Intel Corporation Mechanism for managing multiple out-of-order packet streams in a PCI host bridge
JP2002014875A (ja) * 2000-06-30 2002-01-18 Mitsubishi Electric Corp 半導体集積回路、半導体集積回路のメモリリペア方法およびその方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体
US6799268B1 (en) 2000-06-30 2004-09-28 Intel Corporation Branch ordering buffer
US6754812B1 (en) * 2000-07-06 2004-06-22 Intel Corporation Hardware predication for conditional instruction path branching
US6816947B1 (en) 2000-07-20 2004-11-09 Silicon Graphics, Inc. System and method for memory arbitration
US6845409B1 (en) * 2000-07-25 2005-01-18 Sun Microsystems, Inc. Data exchange methods for a switch which selectively forms a communication channel between a processing unit and multiple devices
US6453393B1 (en) 2000-09-18 2002-09-17 Intel Corporation Method and apparatus for interfacing to a computer memory
US6625687B1 (en) 2000-09-18 2003-09-23 Intel Corporation Memory module employing a junction circuit for point-to-point connection isolation, voltage translation, data synchronization, and multiplexing/demultiplexing
US6526483B1 (en) * 2000-09-20 2003-02-25 Broadcom Corporation Page open hint in transactions
US6523093B1 (en) * 2000-09-29 2003-02-18 Intel Corporation Prefetch buffer allocation and filtering system
US6859208B1 (en) 2000-09-29 2005-02-22 Intel Corporation Shared translation address caching
US6523092B1 (en) * 2000-09-29 2003-02-18 Intel Corporation Cache line replacement policy enhancement to avoid memory page thrashing
US6658509B1 (en) 2000-10-03 2003-12-02 Intel Corporation Multi-tier point-to-point ring memory interface
US6792059B2 (en) 2000-11-30 2004-09-14 Trw Inc. Early/on-time/late gate bit synchronizer
US6631440B2 (en) 2000-11-30 2003-10-07 Hewlett-Packard Development Company Method and apparatus for scheduling memory calibrations based on transactions
US6807630B2 (en) 2000-12-15 2004-10-19 International Business Machines Corporation Method for fast reinitialization wherein a saved system image of an operating system is transferred into a primary memory from a secondary memory
US6801994B2 (en) 2000-12-20 2004-10-05 Microsoft Corporation Software management systems and methods for automotive computing devices
US6622227B2 (en) 2000-12-27 2003-09-16 Intel Corporation Method and apparatus for utilizing write buffers in memory control/interface
US6751703B2 (en) * 2000-12-27 2004-06-15 Emc Corporation Data storage systems and methods which utilize an on-board cache
US6889304B2 (en) * 2001-02-28 2005-05-03 Rambus Inc. Memory device supporting a dynamically configurable core organization
DE10110469A1 (de) 2001-03-05 2002-09-26 Infineon Technologies Ag Integrierter Speicher und Verfahren zum Testen und Reparieren desselben
US6782435B2 (en) 2001-03-26 2004-08-24 Intel Corporation Device for spatially and temporally reordering for data between a processor, memory and peripherals
US6904499B2 (en) 2001-03-30 2005-06-07 Intel Corporation Controlling cache memory in external chipset using processor
US6670959B2 (en) * 2001-05-18 2003-12-30 Sun Microsystems, Inc. Method and apparatus for reducing inefficiencies in shared memory devices
DE60236866D1 (de) 2001-05-24 2010-08-12 Tecey Software Dev Kg Llc Optische busanordnung für ein computersystem
US6697926B2 (en) * 2001-06-06 2004-02-24 Micron Technology, Inc. Method and apparatus for determining actual write latency and accurately aligning the start of data capture with the arrival of data at a memory device
SE524110C2 (sv) 2001-06-06 2004-06-29 Kvaser Consultant Ab Anordning och förfarande vid system med lokalt utplacerade modulenheter samt kontaktenhet för anslutning av sådan modulenhet
US6920533B2 (en) * 2001-06-27 2005-07-19 Intel Corporation System boot time reduction method
US20030005344A1 (en) * 2001-06-29 2003-01-02 Bhamidipati Sriram M. Synchronizing data with a capture pulse and synchronizer
US6721195B2 (en) * 2001-07-12 2004-04-13 Micron Technology, Inc. Reversed memory module socket and motherboard incorporating same
US6665498B1 (en) 2001-07-20 2003-12-16 Wenbin Jiang High-speed optical data links
US6792496B2 (en) 2001-08-02 2004-09-14 Intel Corporation Prefetching data for peripheral component interconnect devices
US6904556B2 (en) 2001-08-09 2005-06-07 Emc Corporation Systems and methods which utilize parity sets
US6681292B2 (en) * 2001-08-27 2004-01-20 Intel Corporation Distributed read and write caching implementation for optimized input/output applications
EP1421490B1 (en) 2001-08-29 2006-04-12 Analog Devices, Inc. Methods and apparatus for improving throughput of cache-based embedded processors by switching tasks in response to a cache miss
US7941056B2 (en) * 2001-08-30 2011-05-10 Micron Technology, Inc. Optical interconnect in high-speed memory systems
US6665202B2 (en) 2001-09-25 2003-12-16 Integrated Device Technology, Inc. Content addressable memory (CAM) devices that can identify highest priority matches in non-sectored CAM arrays and methods of operating same
US6718440B2 (en) * 2001-09-28 2004-04-06 Intel Corporation Memory access latency hiding with hint buffer
DE10153657C2 (de) * 2001-10-31 2003-11-06 Infineon Technologies Ag Anordnung zur Datenübertragung in einem Halbleiterspeichersystem und Datenübertragungsverfahren dafür
US6886048B2 (en) * 2001-11-15 2005-04-26 Hewlett-Packard Development Company, L.P. Techniques for processing out-of-order requests in a processor-based system
US6646929B1 (en) 2001-12-05 2003-11-11 Lsi Logic Corporation Methods and structure for read data synchronization with minimal latency
KR100454123B1 (ko) 2001-12-06 2004-10-26 삼성전자주식회사 반도체 집적 회로 장치 및 그것을 구비한 모듈
US6775747B2 (en) 2002-01-03 2004-08-10 Intel Corporation System and method for performing page table walks on speculative software prefetch operations
US6804764B2 (en) 2002-01-22 2004-10-12 Mircron Technology, Inc. Write clock and data window tuning based on rank select
US6670833B2 (en) * 2002-01-23 2003-12-30 Intel Corporation Multiple VCO phase lock loop architecture
US7006533B2 (en) 2002-02-19 2006-02-28 Intel Corporation Method and apparatus for hublink read return streaming
US20040022094A1 (en) * 2002-02-25 2004-02-05 Sivakumar Radhakrishnan Cache usage for concurrent multiple streams
US6774687B2 (en) * 2002-03-11 2004-08-10 Micron Technology, Inc. Method and apparatus for characterizing a delay locked loop
US6795899B2 (en) 2002-03-22 2004-09-21 Intel Corporation Memory system with burst length shorter than prefetch length
US6735682B2 (en) * 2002-03-28 2004-05-11 Intel Corporation Apparatus and method for address calculation
US7110400B2 (en) 2002-04-10 2006-09-19 Integrated Device Technology, Inc. Random access memory architecture and serial interface with continuous packet handling capability
US20030217223A1 (en) 2002-05-14 2003-11-20 Infineon Technologies North America Corp. Combined command set
US7133972B2 (en) 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
US6898674B2 (en) 2002-06-11 2005-05-24 Intel Corporation Apparatus, method, and system for synchronizing information prefetch between processors and memory controllers
DE10234934A1 (de) 2002-07-31 2004-03-18 Advanced Micro Devices, Inc., Sunnyvale Antwortreihenwiederherstellungsmechanismus
US7149874B2 (en) 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US6820181B2 (en) 2002-08-29 2004-11-16 Micron Technology, Inc. Method and system for controlling memory accesses to memory modules having a memory hub architecture
US6727740B2 (en) * 2002-08-29 2004-04-27 Micron Technology, Inc. Synchronous mirror delay (SMD) circuit and method including a ring oscillator for timing coarse and fine delay intervals
US7836252B2 (en) * 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US6667926B1 (en) 2002-09-09 2003-12-23 Silicon Integrated Systems Corporation Memory read/write arbitration method
US7102907B2 (en) * 2002-09-09 2006-09-05 Micron Technology, Inc. Wavelength division multiplexed memory module, memory system and method
US6821029B1 (en) 2002-09-10 2004-11-23 Xilinx, Inc. High speed serial I/O technology using an optical link
US7117289B2 (en) * 2002-09-30 2006-10-03 Intel Corporation Claiming cycles on a processor bus in a system having a PCI to PCI bridge north of a memory controller
US6811320B1 (en) 2002-11-13 2004-11-02 Russell Mistretta Abbott System for connecting a fiber optic cable to an electronic device
DE10255937B4 (de) * 2002-11-29 2005-03-17 Advanced Micro Devices, Inc., Sunnyvale Ordnungsregelgesteuerte Befehlsspeicherung
US6978351B2 (en) 2002-12-30 2005-12-20 Intel Corporation Method and system to improve prefetching operations
US7366423B2 (en) 2002-12-31 2008-04-29 Intel Corporation System having multiple agents on optical and electrical bus
US6961259B2 (en) 2003-01-23 2005-11-01 Micron Technology, Inc. Apparatus and methods for optically-coupled memory systems
US7366854B2 (en) 2003-05-08 2008-04-29 Hewlett-Packard Development Company, L.P. Systems and methods for scheduling memory requests utilizing multi-level arbitration
US20050166006A1 (en) 2003-05-13 2005-07-28 Advanced Micro Devices, Inc. System including a host connected serially in a chain to one or more memory modules that include a cache
US7386768B2 (en) * 2003-06-05 2008-06-10 Intel Corporation Memory channel with bit lane fail-over
US6937076B2 (en) * 2003-06-11 2005-08-30 Micron Technology, Inc. Clock synchronizing apparatus and method using frequency dependent variable delay
US20050015426A1 (en) * 2003-07-14 2005-01-20 Woodruff Robert J. Communicating data over a communication link
US7174432B2 (en) * 2003-08-19 2007-02-06 Nvidia Corporation Asynchronous, independent and multiple process shared memory system in an adaptive computing architecture
US7133991B2 (en) 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7120743B2 (en) 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
US7177211B2 (en) * 2003-11-13 2007-02-13 Intel Corporation Memory channel test fixture and method
US7098714B2 (en) * 2003-12-08 2006-08-29 Micron Technology, Inc. Centralizing the lock point of a synchronous circuit
US7529800B2 (en) 2003-12-18 2009-05-05 International Business Machines Corporation Queuing of conflicted remotely received transactions
US7412574B2 (en) 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7447240B2 (en) 2004-03-29 2008-11-04 Micron Technology, Inc. Method and system for synchronizing communications links in a hub-based memory system
US6980042B2 (en) * 2004-04-05 2005-12-27 Micron Technology, Inc. Delay line synchronizer apparatus and method
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7046060B1 (en) * 2004-10-27 2006-05-16 Infineon Technologies, Ag Method and apparatus compensating for frequency drift in a delay locked loop
US7116143B2 (en) * 2004-12-30 2006-10-03 Micron Technology, Inc. Synchronous clock generator including duty cycle correction
US7368649B2 (en) * 2006-01-17 2008-05-06 Richard Mintz Method and device for adjusting cymbal sound
US7768325B2 (en) * 2008-04-23 2010-08-03 International Business Machines Corporation Circuit and design structure for synchronizing multiple digital signals

Also Published As

Publication number Publication date
EP1735680A4 (en) 2010-12-29
WO2005101164A3 (en) 2006-04-27
US6980042B2 (en) 2005-12-27
US20050218956A1 (en) 2005-10-06
JP2011125057A (ja) 2011-06-23
JP2007532080A (ja) 2007-11-08
KR100813424B1 (ko) 2008-03-13
JP5044849B2 (ja) 2012-10-10
KR20070015421A (ko) 2007-02-02
US7605631B2 (en) 2009-10-20
EP1735680B1 (en) 2014-06-11
CN1965282A (zh) 2007-05-16
EP1735680A2 (en) 2006-12-27
WO2005101164A2 (en) 2005-10-27
TW200541216A (en) 2005-12-16
US20060066375A1 (en) 2006-03-30
US20100019822A1 (en) 2010-01-28
US8164375B2 (en) 2012-04-24

Similar Documents

Publication Publication Date Title
JP5309286B2 (ja) クロックジェネレータ
US10679683B1 (en) Timing circuit for command path in a memory device
US6727740B2 (en) Synchronous mirror delay (SMD) circuit and method including a ring oscillator for timing coarse and fine delay intervals
US7394707B2 (en) Programmable data strobe enable architecture for DDR memory applications
US6819151B2 (en) Method and circuit for adjusting the timing of output data based on an operational mode of output drivers
JP4511767B2 (ja) 半導体メモリおよびその駆動方法
JP2008511061A (ja) データ、コマンド、およびアドレス信号をストローブするためのメモリシステムおよび方法
JP2001005554A (ja) 半導体装置及びタイミング制御回路
KR20000006028A (ko) 2배데이터속도타이밍을위한클록대기보상회로
KR20030002131A (ko) 레지스터 제어 지연고정루프 및 그를 구비한 반도체 소자
US6920080B2 (en) Methods for generating output control signals in synchronous semiconductor memory devices and related semiconductor memory devices
KR100543937B1 (ko) 데이터 출력제어회로
US7272054B2 (en) Time domain bridging circuitry for use in determining output enable timing
JP2015035241A (ja) 半導体装置
US7181638B2 (en) Method and apparatus for skewing data with respect to command on a DDR interface
KR100486199B1 (ko) 반도체메모리장치의하이임피던스제어신호발생회로
KR20010027123A (ko) 동작 전류 소모가 감소된 고속 메모리장치
KR100703584B1 (ko) 조정형 이중-에지 트리거식 데이터 비트 시프팅 회로 및 방법
KR20100076737A (ko) 플립플롭 및 그를 포함하는 반도체 메모리 장치

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130212

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130314

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130614

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees