JP5291736B2 - フィン型fetを有する半導体装置およびその製造方法 - Google Patents

フィン型fetを有する半導体装置およびその製造方法 Download PDF

Info

Publication number
JP5291736B2
JP5291736B2 JP2011042416A JP2011042416A JP5291736B2 JP 5291736 B2 JP5291736 B2 JP 5291736B2 JP 2011042416 A JP2011042416 A JP 2011042416A JP 2011042416 A JP2011042416 A JP 2011042416A JP 5291736 B2 JP5291736 B2 JP 5291736B2
Authority
JP
Japan
Prior art keywords
fin
fins
height
dielectric material
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011042416A
Other languages
English (en)
Other versions
JP2011181931A (ja
Inventor
弘凱 陳
憲信 林
家彬 林
前泰 ▲せん▼
遠清 彭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2011181931A publication Critical patent/JP2011181931A/ja
Application granted granted Critical
Publication of JP5291736B2 publication Critical patent/JP5291736B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、フィン型電界効果トランジスタ(FinFET)を有する半導体装置およびその製造方法に関し、特に、フィン型FET用の二重エピタキシャルプロセスを用いた半導体装置およびその製造方法に関するものである。
急速に発展している半導体装置の製造分野において、相補型金属酸化膜半導体(CMOS)のフィン型FETデバイス(装置)は、多くのロジックおよびアプリケーションに望ましく、種々の異なるタイプの半導体装置に組み込まれている。フィン型FETデバイスは、一般的に、基板の上面に対して垂直に形成され、かつ、高アスペクト比を有し、半導体トランジスタデバイスのチャネルおよびソース/ドレイン領域が形成された半導体フィンを含んでいる。フィンは分離された、隆起した構造である。ゲートは、フィンの側面に沿って上方に形成され、チャネルおよびソース/ドレイン領域の増加された表面積の利点を用いて、より早く、より信頼性のある、より良く制御された半導体トランジスタデバイスを製造している。
図1Aは、従来のフィン型FET100の等角図である。フィン105、106は、半導体基板101(図2参照)上に隆起した酸化物で形成される(oxide defined;OD)領域105、106を含む。フィン105、106は、シャロートレンチアイソレーション(STI)領域102によって相互に分離され、一対のシャロートレンチアイソレーション領域104の間に配置される。フィン105、106は、シャロートレンチアイソレーション領域102の上面の上方にステップ高107を有する。多結晶シリコンゲート電極108は、フィン105、106上に形成される。側壁スペーサ110は、各ゲート電極110の両側に形成され、低ドープドレイン(LDD)注入領域(図示されていない)を形成する。
図1Bは、エピタキシャル成長のステップ後にフィン106が表面106eに隆起しているフィン106の1つを表している。フィン106の上部106eは、ほぼ5角形をしており、基板101(図2参照)の表面方向に延伸した横方向延伸106Lを有する。
図2は、シリコン酸化物のハードマスク112およびダミー側壁スペーサを形成した後で、エピタキシャル層を形成する前の図1Aのフィン型FET100のX方向(正面)およびY方向(側面)の正面図を表している。
図3は、二重エピタキシャルプロセス(dual epitaxial processing)を行った後の、図1Aのフィン型FET100のX方向(正面)およびY方向(側面)を表している。フォトレジスト(図示されていない)が、PMOS上に堆積され、第1のエピタキシャルプロセスは、NMOSフィン106に行われ、NMOSフィン型FETのフィン106上にSi、SiP、またはSiC層106eを形成する。PMOSは、シリコンの凹部の(silicon recess)プロセスによって形成され、NMOSは、フォトレジストによってマスクされ(図示されていない)、シリコンは、PMOSダミーフィン105からエッチングされて、第2のエピタキシャル形成ステップで成長されたシリコンゲルマニウム(SiGe)によって置き換えられる。よって、図3に表されるように、PMOSのダミーフィン105は、固体(solid)のSiGeフィン124によって置き換えられる。
図3(a)に示されるように、PMOSフィン124のエピタキシャルSiGe横方向延伸124LおよびNMOSフィン106eの横方向延伸106Lは、互いに向き合って横向きに延伸し、隣接するフィンの横方向延伸間のウィンドウ(window)を減少する。
本発明は、フィン型電界効果トランジスタ(フィン型FET)を有する半導体装置において、エピタキシャル形成された半導体層の横方向延伸を減少し、素子サイズを小さくすることができる半導体装置およびその製造方法を提供する。
本発明の半導体装置の製造方法は、半導体基板上に延びる第1のフィンおよび第2のフィンを有し、その間にシャロートレンチアイソレーション(STI)領域を形成するステップを含んでいる。間隙は、STI領域の表面上の第1と第2のフィンとの間に形成(define)されている。第1の高さは、STI領域の上面と第1および第2のフィンの上面の間と定められている。流動性の誘電材料が、間隙内に堆積されている。誘電材料は、STI領域の表面上に上面を有し、誘電材料の上面と第1および第2のフィンの上面との間に第2の高さが定められている。第2の高さは、第1の高さより低い。第1および第2のフィンの延伸は、堆積のステップの後、第1および第2のフィン上でそれぞれ誘電体の上方にエピタキシャル形成される。
本発明の製造方法は、また、半導体基板上に延びる第1のフィンおよび第2のフィンを有し、その間にシャロートレンチアイソレーション(STI)領域を有するフィンを形成するステップを含んでいる。間隙は、STI領域の表面上の第1と第2のフィンとの間に定められる。第1の高さは、STI領域の上面と第1および第2のフィンの上面の間に定められる。第1および第2のフィンは、第1の縦方向を有する。第1のゲート電極は、第1のフィン上に形成される。第1のゲート電極は、第1の縦方向に垂直な第2の縦方向を有する。流動性シリコン酸化物またはシリコン窒化物の誘電材料が間隙内に堆積される。誘電材料は、STI領域の表面上に上面を有し、誘電材料の上面と第1および第2のフィンの上面との間に第2の高さを定められる。第2の高さは、第1の高さより低い。第1および第2のフィンの延伸は、堆積のステップの後、第1および第2のフィン上でそれぞれ誘電体の上方にエピタキシャル形成される。
本発明のフィン型FETを有する半導体装置は、半導体基板上に延伸し、第1のフィンおよび第2のフィンと、その間にシャロートレンチアイソレーション(STI)領域を有している。間隙は、STI領域の表面上の第1と第2のフィンとの間に定められる。フィンは、第1の縦方向を有する。第1のゲート電極は、第1のフィン上にある。第1のゲート電極は、第1の縦方向に垂直な第2の縦方向を有する。流動性誘電材料層は、STI領域の上面に設けられ、STI領域の上面と第1および第2のフィンの上面との間の距離より小さい、誘電材料の上面と第1および第2のフィンの上面との間のステップ高を規定する。第1と第2のエピタキシャルSiGeの横方向フィンの延伸は、第1および第2のフィン上でそれぞれ誘電体の上方に設けられる。フィンの延伸は、誘電材料の表面の下方でフィンの側端を超えて横向きに延伸する。
本発明によれば、STI領域の表面に誘電材料層を形成していることにより、エピタキシャル形成される半導体層の横方向延伸を小さくすることができ、対向するNMOSとPMOSの横方向に延伸する半導体層の接触を避けることができるため、素子サイズを小さくすることができる。
従来のフィン型FETの等角図である。 図1Aのフィン型FETのエピタキシャル成長のステップの後のデバイスのフィンの1つを表している。 図1Aのフィン型FETのフィンにSiGeエピタキシャル層を形成する前のフィン型FETを表している。 図1Aのフィン型FETのフィンにSiGeエピタキシャル層の形成をした後のフィン型FETを表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。 本発明の実施の形態によるフィン型FETの形成の各段階を表している。
本発明の実施の形態の説明は、添付の図面と併せて解釈され、完全な明細書の一部であると考えられる。空間的に相対的な用語、例えば“下方”“上方”“水平”“垂直”“上の”“下の”“上”“下”“上部”“底部”などと、その派生語(例えば“水平に”“下方に”“上方に”など)は、明細書の記載において図に説明された、または表されたような方向を指すものと解釈される。これらの相対的な用語は、説明の便宜を図ったものであり、その装置が特定の方向で構成または動作されることを要求するものではない。例えば、“接続”および“相互接続”などの接続、接合などの用語は、記述されない限り、その中の構造物が介在物を介する構造によって、直接または間接的にもう1つのものと固定されるか、または取り付けられる関係、および可動な、または固定した接続または関係の両方も指している。
先進技術のノード(例えば22nm以下)において、フィン型FETのフィンにエピタキシャルSiGeを形成するのは、NMOSとPMOSのトランジスタの隣接するフィンの横方向延伸間のウィンドウを狭めるため、深刻な場合、ブリッジ(bridging)が発生する可能性があることが発明者により見出されている。ブリッジが形成されていなくても、隣接するフィンの延伸間のウィンドウ(空間)の狭まりは、能動素子のプロセスが完了した後、堆積される第1の層間誘電体(IMD)層に空隙(voids)を発生させる可能性がある。このような空隙は、隣接するPMOSとNMOSのフィン延伸の下方、およびその間で生じ得る。
図4〜15は、エピタキシャル形成されたSiGeの横方向延伸を減少する方法および構成の例を表している。流動性の誘電材料は、減圧化学気相成長(LPCVD)プロセスによってSTI領域上に堆積され、STIの上部とフィンODの上部との間のこのステップ高を減少することで、SiGeの目標量は、減少された横方向フィン延伸を有するフィンに形成され得る。よって、隣接するフィンの横方向延伸間のウィンドウの狭まりは、減少されるか、または避けられる。
各一対の隣接図(図4〜15の各(a)と(b))において、左の図(a)は、フィン205、206の縦方向がページ内に延伸した正面(図1AのX軸参照)図である。対応する右の図(b)は、フィンの縦方向が図面の平面の左から右に延伸した側面(図1AのY軸参照)図である。
図4は、フィン205、206、多結晶シリコンゲート導体208、側壁スペーサ210、およびハードマスク212が設けられ、低ドープのドレイン(LDD)のイオン注入が行われた後のNMOSおよびPMOS構造を含むデバイス200を表している。デバイス200は、半導体基板201の上方の第1縦方向に延伸した第1のフィン205および第2のフィン206を含み、第1のフィン205と第2のフィン206との間にシャロートレンチアイソレーション(STI)領域202を有する。また、間隙207は、STI領域の表面上の第1と第2のフィン205、206との間に定められ、第1の高さ207aは、STI領域202の上面と第1および第2のフィン205、206の上面の間に定められる。ゲート電極208は、第1および第2のフィン205、206の上方に形成される。ゲート電極208は、第1の縦方向に垂直な第2の縦方向を有する。薄いゲート誘電体層(図示されない)は、フィン205、206とゲート電極208の間に形成される。
半導体基板201は、バルクシリコン、バルクシリコンゲルマニウム(SiGe)、または他のIII−V族化合物基板であり得る。基板は、フィン205、206の間のSTI領域202を含んでいる。
STI形成は、一般的に、Si基板に凹部を形成するのと、例えば低圧化学気相成長法(LPCVD)またはプラズマ化学気相成長法(PECVD)などのCVDプロセスを用いて酸化膜の形成を含み、次いで化学機械研磨(CMP)を用いて、余分なSTI酸化膜を除去する。STI領域は、TEOS、SiO、SiNなどで充填され得る。1つの実施の形態において、STI誘電体は、500℃以上の温度で、LPCVDプロセスによって堆積される。STI形成の結果、STI領域202の上部とフィン205、206の上部との間にステップ高207a(第1の高さ)がある。
図5は、減圧化学気相成長(LPCVD)プロセスによる、形状不敏感(non-topography sensitive)の流動性の誘電膜214の堆積を表している。誘電膜は、STI誘電体202の上部より高い高さを有し、かつスペース207を少なくとも部分的に充填する。いくつかの実施の形態において、誘電膜214が、まず堆積されて、フィンOD205、206の上面上に平坦面214sを有する。誘電膜214は、例えば、シリコン酸化物(SiOx)またはシリコン窒化物(SiNx)であり得る。シリコンとSiNxの格子サイズの誤差により、窒化物材料の使用は、圧縮応力領域を形成することになり得、NMOS Idsatに対して実質的な劣化もなく、キャリア移動度を向上させ、かつPMOSのドレイン飽和電流Idsat特性を向上させるのに望ましい。同様に、他の実施の形態では、流動性の誘電体にSiOxを用いると、引張応力領域となり、NMOS特性を向上させ得る。
流動性のCVDプロセスは、約20℃の温度および約100kPaの圧力で行われ得る。堆積後、オゾンO3のキュアリングステップが、約200℃の温度および約600Torrの圧力で約10分、行われる。O2のプラズマ処理ステップは、約400℃の温度で約20秒行われ、流動性のCVDの薄膜質の密度を高くする。O2のプラズマ処理後、100:1の希薄されたフッ酸(HF)を用いたエッチング率は、約80%減少することができる。堆積されたフィルム214の表面214sは、フィン205、206の上面より約10nm高い、高さ207bを有する。
図6は、フィン205、206の上面の下方に流動性のCVD薄膜214の上面がバックエッチングされたエッチバックステップ後の構造200を表している。このステップは、希釈フッ化水素酸(DHF)、プラズマドライエッチ、または化学的ドライエッチ方法などの化学的ウェットエッチを用いて、CVD薄膜214を部分的に除去するように行われ得る。これにより形成されたエッチバック薄膜214eが、図6に表されている。エッチバックプロセスは、フィン205、206の上面上のCVD薄膜214の上部分を除去し、SiGeの凹部のソースドレインプロセスのためのフィンを準備し、薄膜をフィン205、206の上面の下方のレベルにまでさらにエッチバックし得る。
エッチバックステップは、誘電体材料の上面と第1および第2のフィン205、206の上面との間の第2の高さ207cを定める。第2の高さ207cは、第1の高さ207aより小さい。第2の高さ207cは、十分な量で第1の高さ207aより小さく、後に続くエピタキシャル形成のステップの間、第1および第2のフィンの延伸の結合を防ぐ。いくつかの実施の形態において、第1の高さに対する第2の高さの比は、約67%以下である。ある実施の形態において、第1の高さに対する第2の高さの比は、約50%である。
1つの実施例において、20nmの幅を有するフィン205、206では、初期ステップの高さ207aは、30nmであり、第2の高さ207aは、20nmである。よって、第1の高さ207aに対する第2の高さ207cの比は、67%である。もう1つの実施例において、20nmの幅を有するフィン205、206では、初期ステップの高さ207aは、40nmであり、第2の高さ207cは、20nmである。よって、第2の高さ207cと第1の高さ207aの比は、50%である。
図7は、ダミー側壁(DSW)の堆積を表し、後に続く選択的なエピタキシャル成長をさせる。まず、等方性の酸化物(SiOx)層216は、デバイス全体上に形成される。等方性の窒化物(SiN)層218は、酸化物層216上に形成される。LDDアニールステップも行われる。
図8に表されるように、フォトレジスト220が、基板上に堆積され、フォトリソグラフィープロセスが行われ、NMOS上のフォトレジスト220を選択的に除去する。
次に、異方性エッチング(例えばドライエッチ)が、NMOSゲート電極208に隣接して形成されたダミー側壁スペーサ以外の酸化物層216および窒化物層218を除去するように行われる。次いで、図9に示されるように、フォトレジスト220(例えば、アッシングによって)が除去される。
図10は、二重エピタキシャルプロセスの第1の部分を表している。エピタキシャルSi、SiP、またはSiC層206eは、NMOSのフィン206の露出した上端と側端上に成長される。流動性CVD214eの上部とフィン206の上部との間の減少したステップ高(第2の高さ)207c(図6(a))のため、フィン206のエピタキシャル成長は、横方向延伸距離109(図3(a))より小さい横方向延伸距離209(図10(a))となる。いくつかの実施の形態において、エピタキシャル成長は、Si、SiP、またはSiC層206eの上部をNMOSシリコンフィン206の上部より約20nm高くする。20nm高いこの層206eは、図3(a)に示された層106eの対応する高さと同じであるが、図3(a)のステップ高107に対応する、減少されたステップ高207cにより、層206e上の横方向延伸は、より小さい。
図11において、窒化物層218は、異方性エッチング(例えばウェットエッチ)によって、選択的にエッチングされる。
図12において、もう1つの等方性の窒化物層222がデバイス200全体上に形成される。
次に、図13に示されるように、フォトレジスト224が堆積され、かつ、フォトリソグラフィープロセスがNMOS上のフォトレジスト224を残したまま、PMOS上のフォトレジストを除去する。
次いで、異方性エッチング(例えばドライエッチ)が、PMOSフィン205の上部部分をエッチングするように行われ、PMOSフィン205の上部部分に凹部223を形成する。このエッチングステップは、PMOSのゲート上の窒化物222も除去する。図14に表されたようにエッチングに続いて、フォトレジスト224が除去される(例えば、アッシングによって)。
次いで、第2のエピタキシャル成長ステップが行われ、PMOSフィン205の上部にSiGe224を成長させる。上述のNMOSの場合と同じように、PMOSの横方向延伸は、PMOSフィン延伸124Lの長さより小さい延伸の長さ211で、PMOSフィン205の側端を超えて延伸する。ある実施の形態において、図13(b)に示されるように、エピタキシャル成長は、SiGe構造224の上部をPMOSシリコンフィン205の上部より約20nm高くする。図15に示されるように、窒化物のハードマスク222は、選択的なエッチングによって除去される。
次いで、ソース/ドレインのイオン注入が行われ、トランジスタが形成される。
表1は、異なる条件における横方向延伸間の比較を表している。5行の全ては、20nmのフィン幅に対応する。また、5行の全ては、エピタキシャルプロセスの前のフィンの初期の上面より20nm高い、最後のSiGeの上面に対応している。公称間隙(nominal space)は、エピタキシャルプロセス前で、フィン205、206の間の約50nmである。
表1において、3行目は、図3の構造の公称条件(nominal conditions)に対応し、STI領域の上面とフィン106e、124の上部との間に30nmのステップ高を有する。フィンの両側にある、SiGe成長による横方向延伸は、24.7nmである。5行目は、最悪の場合の条件(40nmのステップ高を有する)に対応する。フィンの両側にある、横方向延伸は、28.2nmである。この条件は、フィン延伸間のウィンドウの減少により、隣接のフィンの結合を招くか、またはSiGeの横方向延伸の下方に空隙(voids)を発生させる可能性がある。1行目は、図15に対応し、流動性の誘電体層214eの上面とフィン205、206の上部との間のステップ高は、20nmだけである。横方向延伸は、21.2nmに減少して、隣接のフィン間の結合を防ぎ、かつ、フィンの間に十分なウィンドウ(空間)を残すため、フィン型FET上に順次に堆積されたエッチストップ層(図示されていない)およびIMD(図示されていない)がフィン延伸間の間隙に進入して充填し、IMDの空隙の形成を避けることができる。このステップ高さ(図4(a),図6(a)の207a,207c)を小さくすることにより横方向延伸量が小さくなる理由は、図15(a)において、NMOSフィン206eの横方向延伸は、フィン206の突出する部分が高いほど多くなり、その一方、フィンの突出部分が減少することに伴い、横方向延伸の量が小さくなるからである。
Figure 0005291736
図4〜15に示された実施の形態において、SiGeの凹部のプロセスは、PMOS(PMOS SiGeの凹部のソースドレイン、またはPSSDステップ)にだけ行われる。図15に示されるように、PSSDステップは、フィン205の上部の代わりに凹部を形成してより大きなSiGeの体積を得て、かつ、PSSDエッチは、元のSi表面上で停止する。
他の実施の形態(図示されていない)において、NMOS Si、SiP、またはSiCの凹部のソースドレイン(NSSD)ステップがNMOSフィン206に実行され、NMOSフィンの上部の代わりに凹部も形成する。NSSDステップを有する実施の形態において、より大きなSi、SiP、またはSiCの体積がNMOSに形成される。
他の実施の形態(図示されていない)において、単一のエピタキシャル成長のステップが用いられ、PMOSフィンは、NMOSフィンと同じ方法で処理される。
以上、本発明の好適な実施例を例示したが、これは本発明を限定するものではなく、本発明の精神及び範囲を逸脱しない限りにおいては、当業者であれば行い得る少々の変更や修飾を付加することが可能である。従って、本発明が請求する保護範囲は、特許請求の範囲を基準とする。
100 フィン型FET
101 半導体基板
102 シャロートレンチアイソレーション(STI)領域
104 シャロートレンチアイソレーション領域
105 フィン
106 フィン
106e Si、SiP、SiC層
106L 横方向延伸
107 ステップ高
108 ゲート
109 横方向延伸
110 側壁スペーサ
112 ハードマスク
124 SiGe(フィン)
124L 横方向延伸
200 装置
201 半導体基板
202 シャロートレンチアイソレーション領域
205 第1のフィン
206 第2のフィン
206e Si、SiP、SiC層
207 間隙
207a 第1の高さ
207b 高さ
207c 第2の高さ
208 ゲート(ゲート導体)
209 横方向延伸
210 側壁スペーサ
211 延伸の長さ
212 ハードマスク
214 誘電膜
214e エッチバック薄膜
214s 平坦面
216 酸化物層
218 窒化物層
220 フォトレジスト
222 窒化物層
223 凹部
224 フォトレジスト
226 SiGe構造

Claims (10)

  1. フィン型電界効果トランジスタを有する半導体装置の製造方法であって、
    半導体基板上に延伸する第1のフィンおよび第2のフィンであって、前記第1のフィンと前記第2のフィンとの間にシャロートレンチアイソレーション(STI)領域を有し、前記STI領域の上面上の前記第1のフィンと前記第2のフィンとの間に間隙が定められ、前記STI領域の上面と前記第1のフィンおよび前記第2のフィンの上面との間に第1の高さが定められるように、前記第1のフィンおよび前記第2のフィンを形成するステップ、
    前記第1および第2のフィンの一部の上面および側面にゲート電極を形成した後に、流動性の誘電材料を前記間隙内に堆積させ、前記誘電材料は、前記STI領域の上面上に上面を有して、前記誘電材料の上面と前記第1および第2のフィンの上面との間を第2の高さとし、前記第2の高さは、前記第1の高さより低くなるように流動性の誘電材料を堆積するステップ、
    前記誘電材料の堆積のステップの後、前記第1および第2のフィンの露出している上面および側面でそれぞれ前記誘電材料の上方に、前記第1および第2のフィンの延伸をエピタキシャル成長で形成するステップを含む半導体装置の製造方法。
  2. 前記第2の高さは、前記エピタキシャル形成のステップの間、前記第1および第2のフィンの延伸の結合を防ぐのに十分な程度に、前記第1の高さより小さい請求項1記載の方法。
  3. 前記誘電材料の堆積のステップは、20℃の温度および100kPaの圧力で行われる低圧化学気相成長(LPCVD)プロセスを含む請求項1記載の方法。
  4. 前記誘電材料の堆積のステップ後、200℃の温度および600Torrの圧力で10分、オゾンの固化ステップを実行し、かつ400℃の温度で20秒、O2のプラズマ処理ステップを行うステップをさらに含む請求項3記載の方法。
  5. 前記第2の高さの前記第1の高さに対する割合は、50%〜67%の間である請求項1記載の方法。
  6. 前記エピタキシャル成長で形成するステップは、前記第1および第2のフィンの少なくとも1つの上面および側面にSiGeを成長させるステップを含む請求項1記載の方法。
  7. 前記第1および第2のフィンの1つの上面に、凹部を形成するステップをさらに含み、前記エピタキシャル成長で形成するステップは、SiGeを成長させて前記凹部を充填するステップを含む請求項1に記載の方法。
  8. フィン型FETを有する半導体装置であって、
    半導体基板上で、該半導体基板の上面視で第1の方向に延伸して形成される第1のフィンおよび第2のフィンであって、該第1および第2のフィンの間にシャロートレンチアイソレーション(STI)領域を有し、前記STI領域の上面上の前記第1と第2のフィンとの間に間隙が形成される第1および第2のフィン、
    前記第1および第2のフィン上にあり、前記第1の方向に垂直な第2の方向を有する第1のゲート電極、
    前記第1および第2のフィンおよび前記第1のゲート電極に覆われずに露出している前記STI領域の上面に形成される流動性誘電材料層であって、該流動性誘電材料層の上面と前記第1および第2のフィンの上面との間の第2の高さが、前記STI領域の上面と前記第1および第2のフィンの上面との間の第1の高さより小さくなるように形成される流動性誘電材料層、
    前記流動性誘電材料層の上方にあり、かつ、それぞれ前記第1および第2のフィンの上面および側面で前記フィンが延伸し、前記流動性誘電材料層の上方で、前記フィンの側端を超えて延伸し、エピタキシャルSiGeからなる第1および第2のフィン延伸部
    を含むフィン型FETを有する半導体装置。
  9. 前記第1および第2のフィンの少なくとも1つは、その上部分に凹部を有し、前記凹部は、エピタキシャルSiGeで充填される請求項8記載のフィン型FETを有する半導体装置。
  10. 前記第2の高さの前記第1のさに対する割合は、50%〜67%である請求項8記載のフィン型FETを有する半導体装置。
JP2011042416A 2010-03-01 2011-02-28 フィン型fetを有する半導体装置およびその製造方法 Active JP5291736B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/714,796 US8937353B2 (en) 2010-03-01 2010-03-01 Dual epitaxial process for a finFET device
US12/714,796 2010-03-01

Publications (2)

Publication Number Publication Date
JP2011181931A JP2011181931A (ja) 2011-09-15
JP5291736B2 true JP5291736B2 (ja) 2013-09-18

Family

ID=44504844

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011042416A Active JP5291736B2 (ja) 2010-03-01 2011-02-28 フィン型fetを有する半導体装置およびその製造方法

Country Status (4)

Country Link
US (2) US8937353B2 (ja)
JP (1) JP5291736B2 (ja)
KR (1) KR101153158B1 (ja)
CN (1) CN102194755B (ja)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8441072B2 (en) * 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US8637931B2 (en) 2011-12-27 2014-01-28 International Business Machines Corporation finFET with merged fins and vertical silicide
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8779517B2 (en) * 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
CN103367160B (zh) * 2012-03-31 2015-09-02 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN102646599B (zh) 2012-04-09 2014-11-26 北京大学 一种大规模集成电路中FinFET的制备方法
US8835243B2 (en) * 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8586455B1 (en) * 2012-05-15 2013-11-19 International Business Machines Corporation Preventing shorting of adjacent devices
US8569152B1 (en) 2012-06-04 2013-10-29 International Business Machines Corporation Cut-very-last dual-epi flow
US8697515B2 (en) * 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8729634B2 (en) * 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
KR101909204B1 (ko) 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9059323B2 (en) 2012-08-03 2015-06-16 International Business Machines Corporation Method of forming fin-field effect transistor (finFET) structure
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
CN103681272A (zh) * 2012-09-04 2014-03-26 中芯国际集成电路制造(上海)有限公司 一种鳍片场效应晶体管的制备方法
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US8823060B1 (en) 2013-02-20 2014-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inducing strain in FinFET channels
US9443961B2 (en) 2013-03-12 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor strips with undercuts and methods for forming the same
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9034715B2 (en) 2013-03-12 2015-05-19 International Business Machines Corporation Method and structure for dielectric isolation in a fin field effect transistor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9087724B2 (en) 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
US8916932B2 (en) 2013-05-08 2014-12-23 International Business Machines Corporation Semiconductor device including FINFET structures with varied epitaxial regions, related method and design structure
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9105582B2 (en) * 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
CN104425372B (zh) * 2013-08-20 2017-07-14 中芯国际集成电路制造(上海)有限公司 反相器的形成方法及反相器
US9112030B2 (en) * 2013-11-04 2015-08-18 United Microelectronics Corp. Epitaxial structure and process thereof for non-planar transistor
US20150145067A1 (en) * 2013-11-28 2015-05-28 United Microelectronics Corp. Fin structure
US9343320B2 (en) 2013-12-06 2016-05-17 Globalfoundries Inc. Pattern factor dependency alleviation for eDRAM and logic devices with disposable fill to ease deep trench integration with fins
CN104733311A (zh) * 2013-12-18 2015-06-24 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104752351B (zh) * 2013-12-30 2019-03-29 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
KR102157839B1 (ko) 2014-01-21 2020-09-18 삼성전자주식회사 핀-전계효과 트랜지스터의 소오스/드레인 영역들을 선택적으로 성장시키는 방법
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9299780B2 (en) 2014-03-26 2016-03-29 International Business Machines Corporation Constrained epitaxial source/drain regions on semiconductor-on-insulator finFET device
KR102017611B1 (ko) 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US9985030B2 (en) 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
US9443963B2 (en) 2014-04-07 2016-09-13 International Business Machines Corporation SiGe FinFET with improved junction doping control
US9887196B2 (en) 2014-04-07 2018-02-06 International Business Machines Corporation FinFET including tunable fin height and tunable fin width ratio
US9312360B2 (en) * 2014-05-01 2016-04-12 International Business Machines Corporation FinFET with epitaxial source and drain regions and dielectric isolated channel region
US9312364B2 (en) 2014-05-27 2016-04-12 International Business Machines Corporation finFET with dielectric isolation after gate module for improved source and drain region epitaxial growth
KR102200345B1 (ko) 2014-06-26 2021-01-11 삼성전자주식회사 반도체 소자 및 그 제조방법
CN105304490B (zh) 2014-07-23 2020-09-15 联华电子股份有限公司 半导体结构的制作方法
KR102227128B1 (ko) 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9209279B1 (en) * 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
KR102245133B1 (ko) 2014-10-13 2021-04-28 삼성전자 주식회사 이종 게이트 구조의 finFET를 구비한 반도체 소자 및 그 제조방법
US9385191B2 (en) 2014-11-20 2016-07-05 United Microelectronics Corporation FINFET structure
CN107004713B (zh) * 2014-12-24 2021-02-09 英特尔公司 形成具有非对称外形的鳍状物结构的装置和方法
CN105826188B (zh) * 2015-01-06 2019-11-01 中芯国际集成电路制造(上海)有限公司 N型鳍式场效应晶体管及其形成方法
CN105826194A (zh) * 2015-01-07 2016-08-03 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9276013B1 (en) 2015-01-21 2016-03-01 International Business Machines Corporation Integrated formation of Si and SiGe fins
US9761699B2 (en) 2015-01-28 2017-09-12 International Business Machines Corporation Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures
US9362407B1 (en) 2015-03-27 2016-06-07 International Business Machines Corporation Symmetrical extension junction formation with low-K spacer and dual epitaxial process in FinFET device
KR102379267B1 (ko) 2015-04-01 2022-03-28 삼성전자주식회사 아이솔레이션 영역 상의 스페이서를 갖는 반도체 소자
US9754941B2 (en) 2015-06-03 2017-09-05 Globalfoundries Inc. Method and structure to form tensile strained SiGe fins and compressive strained SiGe fins on a same substrate
US9935178B2 (en) * 2015-06-11 2018-04-03 International Business Machines Corporation Self-aligned channel-only semiconductor-on-insulator field effect transistor
US9627278B2 (en) 2015-06-16 2017-04-18 International Business Machines Corporation Method of source/drain height control in dual epi finFET formation
US9330984B1 (en) * 2015-07-08 2016-05-03 International Business Machines Corporation CMOS fin integration on SOI substrate
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9450094B1 (en) 2015-09-08 2016-09-20 United Microelectronics Corp. Semiconductor process and fin-shaped field effect transistor
US10529717B2 (en) * 2015-09-25 2020-01-07 International Business Machines Corporation Orientation engineering in complementary metal oxide semiconductor fin field effect transistor integration for increased mobility and sharper junction
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
KR102427326B1 (ko) 2015-10-26 2022-08-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10026662B2 (en) * 2015-11-06 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US9536989B1 (en) 2016-02-15 2017-01-03 Globalfoundries Inc. Field-effect transistors with source/drain regions of reduced topography
KR102521379B1 (ko) 2016-04-11 2023-04-14 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9917154B2 (en) 2016-06-29 2018-03-13 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate
US9882051B1 (en) * 2016-09-15 2018-01-30 Qualcomm Incorporated Fin field effect transistors (FETs) (FinFETs) employing dielectric material layers to apply stress to channel regions
US9917210B1 (en) 2016-10-20 2018-03-13 International Business Machines Corporation FinFET transistor gate and epitaxy formation
KR102330087B1 (ko) * 2017-04-03 2021-11-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
CN109273440B (zh) 2017-07-18 2021-06-22 联华电子股份有限公司 具伸张应力鳍状结构的制作方法与互补式鳍状晶体管结构
CN109786327B (zh) * 2017-11-10 2021-07-13 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10340384B2 (en) * 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
US10727352B2 (en) * 2018-01-26 2020-07-28 International Business Machines Corporation Long-channel fin field effect transistors
TWI705529B (zh) * 2018-02-15 2020-09-21 美商應用材料股份有限公司 空氣間隙形成處理
US10529831B1 (en) * 2018-08-03 2020-01-07 Globalfoundries Inc. Methods, apparatus, and system for forming epitaxial formations with reduced risk of merging
US11031489B2 (en) * 2018-09-26 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
CN114765171A (zh) 2021-01-14 2022-07-19 联华电子股份有限公司 半导体结构及其制作方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05218416A (ja) 1992-01-31 1993-08-27 Kawasaki Steel Corp 半導体装置の製造方法
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6657252B2 (en) 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US7214991B2 (en) 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US6815738B2 (en) * 2003-02-28 2004-11-09 International Business Machines Corporation Multiple gate MOSFET structure with strained Si Fin body
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
US7122412B2 (en) * 2004-04-30 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a necked FINFET device
US7355233B2 (en) * 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US6969659B1 (en) * 2004-08-12 2005-11-29 International Business Machines Corporation FinFETs (Fin Field Effect Transistors)
US7244640B2 (en) * 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7250657B2 (en) * 2005-03-11 2007-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Layout structure for memory arrays with SOI devices
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7589387B2 (en) * 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) * 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
JP2007165780A (ja) * 2005-12-16 2007-06-28 Toshiba Corp 半導体装置
US7425500B2 (en) * 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US8946811B2 (en) * 2006-07-10 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Body-tied, strained-channel multi-gate device and methods of manufacturing same
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US8174073B2 (en) * 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
US7833889B2 (en) * 2008-03-14 2010-11-16 Intel Corporation Apparatus and methods for improving multi-gate device performance
JP5159413B2 (ja) * 2008-04-24 2013-03-06 株式会社東芝 半導体装置及びその製造方法
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8362568B2 (en) * 2009-08-28 2013-01-29 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US8716797B2 (en) * 2009-11-03 2014-05-06 International Business Machines Corporation FinFET spacer formation by oriented implantation

Also Published As

Publication number Publication date
CN102194755A (zh) 2011-09-21
KR20110099156A (ko) 2011-09-07
US20110210393A1 (en) 2011-09-01
CN102194755B (zh) 2014-02-26
US8937353B2 (en) 2015-01-20
KR101153158B1 (ko) 2012-07-03
US20150115322A1 (en) 2015-04-30
JP2011181931A (ja) 2011-09-15
US9224737B2 (en) 2015-12-29

Similar Documents

Publication Publication Date Title
JP5291736B2 (ja) フィン型fetを有する半導体装置およびその製造方法
US10515856B2 (en) Method of making a FinFET, and FinFET formed by the method
KR101683985B1 (ko) 매립된 절연체층을 가진 finfet 디바이스
TWI505402B (zh) 在塊體半導體材料上形成隔離鰭結構的方法
JP5230737B2 (ja) 異なる高さの隣接シリコンフィンを製造する方法
US20160005838A1 (en) PROCESS FOR FABRICATING FIN-TYPE FIELD EFFECT TRANSISTOR (FinFET) STRUCTURE
US20050224800A1 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20100207209A1 (en) Semiconductor device and producing method thereof
US9362178B1 (en) FinFET including varied fin height
WO2012100396A1 (zh) 半导体器件及其制造方法
US10468412B2 (en) Formation of a semiconductor device with selective nitride grown on conductor
US20160307894A1 (en) Finfet semiconductor device having fins with stronger structural strength
KR100618827B1 (ko) FinFET을 포함하는 반도체 소자 및 그 제조방법
US7982269B2 (en) Transistors having asymmetric strained source/drain portions
TW201740563A (zh) 半導體裝置的鰭狀結構以及鰭式場效電晶體裝置
WO2012027864A1 (zh) 半导体结构及其制造方法
US10008582B2 (en) Spacers for tight gate pitches in field effect transistors
CN110233108B (zh) 一种围栅器件及其制造方法
KR20160061615A (ko) 반도체 장치의 제조 방법
TW202240900A (zh) 半導體裝置及其製造方法
TWI699886B (zh) 半導體裝置及其製造方法
JP2010010382A (ja) 半導体装置およびその製造方法
CN115719707A (zh) 一种围栅器件及其制造方法
JP6255692B2 (ja) 半導体装置の製造方法
JP2012186439A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130607

R150 Certificate of patent or registration of utility model

Ref document number: 5291736

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250