TWI699886B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI699886B
TWI699886B TW105134559A TW105134559A TWI699886B TW I699886 B TWI699886 B TW I699886B TW 105134559 A TW105134559 A TW 105134559A TW 105134559 A TW105134559 A TW 105134559A TW I699886 B TWI699886 B TW I699886B
Authority
TW
Taiwan
Prior art keywords
strained
materials
semiconductor device
strain
fin
Prior art date
Application number
TW105134559A
Other languages
English (en)
Other versions
TW201727891A (zh
Inventor
張智強
宋學昌
李昆穆
游明華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201727891A publication Critical patent/TW201727891A/zh
Application granted granted Critical
Publication of TWI699886B publication Critical patent/TWI699886B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

本揭露內容的一種實施方式是半導體裝置,包含:基板;多個鰭板結構配置於基板上;多個第一應變材料配置於各鰭板結構上;多個覆蓋層分別形成於各第一應變材料上,其中至少兩個覆蓋層和彼此連接;第二應變材料配置於至少兩個覆蓋層上,此兩個覆蓋層和彼此連接。

Description

半導體裝置及其製造方法
本揭露內容實施例係有關一種半導體裝置及一種製造半導體的方法。
當半導體產業為了追求更高的裝置密度、效能和更低的成本而進入奈米製程節點時,製造和設計的各種議題形成各種挑戰,因而開始發展3D設計,例如鰭式場效電晶體(fin field effect transistor,FINFET)。類似於平面結構電晶體,源極和汲極矽化物可以形成於鰭式場效電晶體的源極和汲極區域上。然而,當鰭式場效電晶體的鰭板變窄,可能會發生電流擁擠(current crowding)效應。此外,接觸插栓連接至鰭板中源極/汲極的製程變得困難。因此使用磊晶製程在鰭板上形成磊晶半導體層以增加體積。一般鰭式場效電晶體是由從基板垂直延伸的細薄鰭片組成,例如,蝕刻掉基板一部分的矽層。鰭式場效電晶體的通道形成於此垂直的鰭板上。提供一閘極於鰭板上方(例如包覆鰭板)。閘極配置於通道的兩側能讓閘極控制兩側的通道。
我們發現使用選擇性生長鍺化矽(SiGe)於鰭式場效電晶體中的源極/汲極凹陷處生長應變材料(strained material)可 增強載子遷移率。此壓力效應經由通道改善電荷遷移率,進而改善裝置的效能。然而,在互補金屬氧化物半導體(CMOS)中實行這些特徵和製程上仍然遇到許多挑戰。例如,應變材料的體積是增加通道的應變程度的關鍵,而且這被鰭板線寬、鰭板輪廓和鰭板間距所限制。為了增強裝置,應變材料的體積傾向越大越好。
因此,需要一改良的裝置和一製造應變結構的方法。
根據本揭露內容之多個實施方式,係提供一種半導體裝置,包含基板、鰭板結構、第一應變材料、覆蓋層和第二應變材料。多個鰭板結構配置於基板上。多個第一應變材料配置於各鰭板結構上。多個覆蓋層分別地形成於各第一應變材料上,其中至少兩個覆蓋層和彼此連接。第二應變材料配置於至少兩個覆蓋層上,至少兩個覆蓋層和彼此連接。
根據本揭露內容之多個實施方式,係提供一種製造一半導體裝置的方法,此方法包含:形成多個鰭板結構於一基板上;分別形成多個第一應變材料於各鰭板結構上;分別形成多個覆蓋層於各第一應變材料上,其中在所述多個第一應變材料上的至少二個覆蓋層形成至一厚度,厚度足以使所述多個第一應變材料彼此連接;以及形成一第二應變材料於至少二個覆蓋層上,所述至少二個覆蓋層係彼此連接著。
根據本揭露內容之多個實施方式,係提供一種半導體裝置,此半導體裝置包含一基板、多個第一鰭板結構、多個第二鰭板結構、多個第一應變材料、多個覆蓋層、多個第二應變材 料。所述多個第一鰭板結構配置於基板的一第一區域,以及所述多個第二鰭板結構配置於基板的第二區域。所述多個第一鰭板結構的第一間距小於所述多個第二鰭板結構的一第二間距。所述多個第一應變材料分別配置於各第一鰭板結構上和各第二鰭板結構上。所述多個覆蓋層分別配置於各第一應變材料上。所述多個覆蓋層係連接至第一區域中的另一覆蓋層。所述多個第二應變材料配置於所述多個覆蓋層上。
為使本揭露內容之上述及其他目的、特徵和優點更明顯易懂,下文特舉出較佳實施例,並配合所附圖示詳細說明如下。
100:製造方法
200:半導體裝置
210:第一區域
220:第二區域
230:半導體基板
240:隔離區域
250:第一鰭板結構
250’:第二鰭板結構
260:第一應變材料
270:覆蓋層
280:第二應變材料
280’:第二應變材料
P1:第一間距
P2:第二間距
由下文之詳細說明並同時參照附圖能夠最適當地理解本揭示內容之態樣。應注意,依據工業中之標準實務,多個特徵並未按比例繪製。實際上,多個特徵之尺寸可任意增大或縮小,以便使論述明晰。
第1圖是根據本揭露內容的多個態樣之製造半導體裝置的方法流程圖。
第2-7圖是根據一些實施例之製造半導體裝置的中間階段的剖面示意圖。
以下揭示內容提供眾多不同的實施例或實例以用於實施本揭露內容之不同特徵。下文中描述組件及排列之特定實例 以簡化本揭示內容。此等組件及排列當然僅為例示實施例,且不意欲進行限制。例如,在下文之描述中,第一特徵形成在第二特徵上方或之上可包含其中第一特徵與第二特徵以直接接觸方式形成的實施例,且亦可包含其中在第一特徵與第二特徵之間形成額外特徵而使得第一特徵與第二特徵無法直接接觸之實施例。此外,本揭示內容在多個實例中使用重複的元件符號及/或字母。此重複是為了簡化及清楚之目的,而非意指所論述的各個實施例及/或構造之間的關係。
此外,在此使用諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等空間相對用語用於簡化描述,以描述如附圖中所圖示的一個元件或特徵結構與其他元件或特徵結構的關係。該空間相對用語意欲涵蓋使用或操作中之元件在除了附圖描述的方向以外的不同方向。此裝置亦可被轉向(90°旋轉或其他方位),且本文使用的空間相對用語可據此作類似的解釋。
根據各種實施方式在此提供鰭式場效電晶體(FinFETs)和其製造方法,並說明形成鰭式場效電晶體的中間階段。一些在此論述的實施方式論述使用一後閘極(gate-last)製程的鰭式場效電晶體。在其他實施方式中,可使用一閘極優先(gate-first)製程。在此討論一些實施方式的變化。該領域的通常知識者將會理解其他的更動仍被認為是在其他實施方式的範圍中。儘管依一特定順序來論述製造方法的實施例,但各種其他製造方法的實施例可依任何具邏輯性的順序來執行而且可包含更少或更多的執行步驟。
在特定地強調這些說明性的實施方式前。一般而言,本揭露內容為一種半導體裝置和其製造方法,提供簡單且具經濟效益的製程流程,藉由使用選擇性生長鍺化矽(SiGe)於鰭式場效電晶體的源極汲極凹陷處生長一應變材料,以增強載子遷移率。這些壓力效應經由通道改善電荷遷移率,進而改善裝置效能。尤其是,以下揭露的實施方式包含半導體裝置中的增加體積的應變材料以達到改善並增強效能。
鰭板線寬(critical dimension)、鰭板輪廓和鰭板間距限制應變材料的體積。為了提升裝置效能,必須盡可能增加應變材料的體積。然而,對於記憶體區域而言,此增加的體積會造成兩個鄰近記憶體裝置間不必要的連接,從而降低良率。因此,一般要在裝置區域的效能改善和記憶體區域的生產良率間作取捨,而抑制記憶體區域的應變材料體積以避免不必要的連接來提升良率。
第1圖係根據本揭露之多種態樣的製造一半導體裝置方法的流程圖。第2-5圖係根據一些實施例之製造半導體裝置的中間階段的剖面示意圖。第6-7圖係根據一些實施例之半導體裝置之多個態樣的剖面示意圖。製造方法100和半導體裝置200一併參照第1-6圖的描述。可以理解的是,可提供額外的步驟於製造方法100之前、之間或之後,而且某些此處描述的步驟可以被其他實施方式的方法取代或消除。
在一半導體裝置200的製造方法100中,首先製造方法100提供一半導體基板230,半導體基板230包含一第一區域210和一第二區域220。在某些實施方式中,第一區域210是裝置區域 且第二區域220是記憶體區域,例如靜態隨機存取記憶體(static random-access memory,SRAM)區域。如同第2圖所繪示,半導體裝置200包含一半導體基板230。半導體基板230可為一塊狀矽基板。可替換地,半導體基板230可包含一基本半導體,例如晶體結構的矽或鍺;一化合物半導體,例如鍺化矽、碳化矽、砷化鎵、磷化鎵及/或銦化銻,或其組合。半導體基板230可能也包含一絕緣層上覆半導體(silicon-on-insulator,SOI)基板。基板230可能也包含絕緣層上覆矽基板,絕緣層上覆矽基板係使用氧離子植入矽晶隔離法(separation by implantation of oxygen,SIMOX)、晶圓接合(wafer bonding)及/或其他合適的方法。此外,半導體基板230可摻雜p型或n型雜質。摻雜區域可被p型摻質摻雜,例如硼或BF2;摻雜區域可被n型摻質摻雜,例如磷或砷;或被其組合摻雜。依據該領域習知的設計需求(例如p型井或n型井),半導體基板230可包含各種摻雜區域。摻雜區域可為p型井結構、n型井結構、雙重井結構或突起結構直接形成於半導體基板230上。半導體基板230可更包含各種主動區域,例如配置N型金屬氧化物半導體電晶體裝置的區域或配置P型金屬氧化物半導體電晶體裝置的區域。
某些例示性的半導體基板230包含隔離區域(isolation regions)。隔離區域包含任何合適的材料,包含氧化矽、藍寶石、其他合適的絕緣材料、及/或其組合。如第2圖所示,例示性的隔離區域240形成於半導體基板230上以隔離半導體基板230的主動區域。隔離區域240使用隔離技術,例如淺溝槽隔離(shallow trench isolation,STI),定義並電性隔離各種區域。隔離區域240包含氧化矽、氮化矽、氮氧化矽、空氣間隙(air gap)、其 他合適的材料或其組合。可以藉由任何合適的製程形成隔離區域,例如佈植(例如SIMOX)、氧化、沉積、及/或其他合適的製程。舉例來說,形成淺溝槽隔離包含微影製程、在基板內蝕刻出溝槽(例如使用乾式蝕刻及/或濕式蝕刻)、使用一種或多種介電材料填滿溝槽(例如使用化學氣相沉積製程)。溝槽可為部分填滿,例如在本實施方式中,在溝槽中間的剩餘基板形成鰭板結構。在某些實施方式中,填滿的溝槽可有多層結構,例如以氮化矽或氧化矽填滿的熱氧化襯裡層。例示性的絕緣層可為內埋式氧化物(buried oxide,BOX)層。在某些例示性的半導體裝置200中,隔離區域240是絕緣層上覆矽(SOI)的一元件(例如層)。
請參照第2圖,可藉由移除隔離區域240的上部來形成第一及第二鰭板結構250、250’,所以在相鄰的隔離區域240間的部分半導體基板230形成第一及第二鰭板結構250、250’(步驟120)。可替換地,可藉由磊晶生長在半導體基板230上形成第一及第二鰭板結構250、250’。在某些實施方式中,半導體裝置200包含多個第一及第二鰭板結構250、250’。第一及第二鰭板結構250、250’也可包含各種摻雜區域。例如,各種摻雜區域可包含輕摻雜源極/汲極(lightly doped source/drain,LDD)區域和源極/汲極(S/D)區域(也稱為重摻雜S/D區域)。執行一佈植製程(例如接面佈植)形成S/D區域。佈植製程使用任何合適的摻質。摻質可取決於製造的裝置類型,例如NMOS或PMOS裝置。舉例來說,S/D區域使用p型摻質,例如硼或BF2;n型摻質,例如磷或砷;及/或其組合。S/D區域可包含各種摻雜分布。可執行一種或多種退火製程以活化S/D區域。退火製程包含快速熱退火(rapid thermal annealing,RTA)及/或雷射退火製程。可使用任何合適的製程形成第一及第二鰭板結構250、250’,包含各種沉積、微影、及/或蝕刻製程。一例示性的微影製程包含形成一光阻層覆蓋基板(例如矽層上)、曝光光阻以形成一圖案、執行一曝光後烘烤以及將光阻顯影以形成一包含光阻的遮罩元件。然後使用遮罩元件將鰭板結構蝕刻至矽層上。使用反應式離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程蝕刻沒有被遮罩元件保護的區域。在一實例中,藉由圖案化和蝕刻半導體基板230的一部分形成第一及第二鰭板結構250、250’。在另一實例中,藉由圖案化以及蝕刻一覆蓋於絕緣層上的一矽層(例如一絕緣層上覆矽基板中矽-絕緣體-矽堆疊中的上方矽層)形成第一及第二鰭板結構250、250’。如同傳統微影製程的替代方法,可使用一雙重曝光微影製程(double-patterning lithography,DPL)形成第一及第二鰭板結構250、250’。雙重曝光微影製程是一種藉由將一圖案分成兩個交錯的圖案以在一基板上建構此圖案。雙重曝光微影製程能增強特徵(例如鰭板)的密度。各種雙重曝光微影製程的方法包含雙重曝光(例如使用兩組遮罩)、形成與特徵相鄰的間隔件並移除特徵以提供間隔件的圖案、光阻固化、及/或其他合適的製程。可以理解的是能使用類似的方式形成多個平行的第一及第二鰭板結構250、250’。如第2圖所示,在根據本揭露的某些實施方式中,多個第一鰭板結構250配置於基板230的一第一區域210上,而且多個第二鰭板結構250’配置於基板230的一第二區域220上,其中第一鰭板結構250的一第一間距P1小於第二鰭板結構250’的一第二 間距P2。形成第一及第二鰭板結構250、250’的合適材料包含矽和鍺化矽。
一或多種閘極結構(未繪示)形成於半導體基板230上方,包含第一及第二鰭板結構250、250’的一部分的上方。閘極結構包含一閘極堆疊而且可包含一密封層和其他適合的結構。閘極堆疊具有一介面層(未繪示)、一閘極介電層(未繪示)、一閘極電極層(未繪示)以及一硬遮罩層(未繪示)。可以理解的是閘極堆疊可包含額外的層例如介面層、覆蓋層、擴散/阻障層、介電層、導電層、其他合適的層、及/或其組合。閘極結構的介面層形成於半導體基板230和第一及第二鰭板結構250、250’的上方。可以使用任何合適的製程形成介面層至任一厚度。一例示性的介面層包含氧化矽(例如熱氧化物或化學氧化物)及/或氮氧化矽(SiON)。
閘極介電層藉由任何合適的製程形成於介面層上方。閘極介電層包含一介電材料,例如氧化矽、氮化矽、氮氧化矽、高介電常數(high-K)介電材料、其他合適的介電材料、及/或其組合。高介電常數介電材料的例子包含HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鉿-氧化鋁的合金(HfO2-Al2O3)合金、其他適合的高介電常數介電材料、及/或其組合。
閘極電極層藉由任何合適的製程形成於閘極介電層,且一遮罩層(未繪示)形成於閘極層上方。閘極電極層包含任何合適的材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦、氮化鎢、鋁化鈦、氮鋁化鈦、氮碳化 鉭、碳化鉭、氮矽化鉭、金屬合金、其他合適的材料、及/或其組合。遮罩層可由例如氮化矽或其類似物形成。
接著形成閘極結構、應變源極/汲極(S/D)區域。如第3圖所示,在S/D區域預定位置的第一及第二鰭板結構250、250’首先被移除一部分。可移除任何合適的材料量。然而,移除量會影響之後形成的S/D區域的應力。因此,要控制移除的深度以製造或移除S/D區域內所欲之應力效應或差排(dislocations)並控制其他裝置通道的特性。
移除鰭板結構250、250’的一部分可包含形成一光阻層或一覆蓋層(例如氧化覆蓋層)於基板上方,圖案化光阻或覆蓋層以形成具有曝露第一及第二鰭板結構250、250’的S/D區域的開口,以及回蝕第一及第二鰭板結構250、250’的材料。在描述的實施方式中,使用一乾式蝕刻製程蝕刻第一及第二鰭板結構250、250’。可替換地,蝕刻製程為一濕式蝕刻製程或乾式和濕式蝕刻製程的組合。移除的過程可包含一微影製程使蝕刻製程較為容易。微影製程可包含塗覆光阻(例如旋塗)、軟烤、遮罩對準、曝光、曝光後烘烤、將光阻顯影、清洗、乾燥(例如硬烤)、其他合適的製程或其組合。可替換地,可使用其他方式執行或取代微影製程,例如無光罩式(maskless)微影製程、電子束寫入以及離子束寫入。在又另一替代方式中,微影製程可使用奈米壓印(nanoprint)技術。
第4圖繪示使用一或多種磊晶製程(步驟130)形成第一應變材料260(例如一SiGe層)於第一及第二鰭板結構250、250’。在某些實施方式中,多個第一應變材料260配置於各第一及 第二鰭板結構250、250’上。某些例示性的第一應變材料的材料組成可係選自於由鍺化矽、碳化矽和碳摻雜的鍺化矽所構成之群組或其組合。磊晶製程包含化學氣相沉積(CVD)技術(例如氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空CVD(ultra-high vacuum CVD,UHV-CVD)、分子束磊晶、及/或其他合適的製程。磊晶製程可使用氣相及/或液相的前驅物(presursors)。因此,可達成一應變的通道以增加載子遷移率並增強裝置效能。第一應變材料260可為原處(in-situ)摻雜。摻質包含p型摻質,例如硼或BF2;n型摻質,例如磷或砷;及/或其他合適的摻質包含其組合。如果第一應變材料260不是原處摻雜,則執行一第二佈植製程(例如一接面佈植製程)。可執行一或多種退火製程以活化摻質。退火製程包含快速退火製程(RTA)及/或雷射退火製程。在某些實施方式中,多個第一應變材料260可為一鑽石形狀並具有一高度,此高度介於20-60nm、30-60nm或30-50nm。
第5圖繪示個別形成覆蓋層270(步驟140)於各第一應變材料260上。更明確地,覆蓋層270保形地分別形成於第一及第二鰭板結構250、250’上方的各第一應變材料上。如第5圖所示,在某些實施方式中,在第一應變材料260上的至少兩個覆蓋層270形成至一厚度,此厚度足夠在第一區域210中連接彼此但未厚到能在第二區域中連接彼此。也就是說,第一區域210中至少兩個覆蓋層270和彼此連接,同時第二區域220的各覆蓋層270和彼此分開。覆蓋層270的厚度可為,例如1-20nm、1-10nm或5-10nm。在某些實施方式中,覆蓋層270是由和第一應變材料260相同的材料組成。在其他實施方式中,覆蓋層270是由和第一應變材料260不 同的材料組成。例示性的覆蓋層270可由經摻雜的矽形成,經摻雜的矽係選自於由鍺化矽、碳化矽和經碳摻雜的鍺化矽所構成之群組或其組合。
第6圖係繪示根據本揭露一些實施方式的半導體裝置的剖面示意圖,更繪示第二應變材料280、280’(例如一SiGe層)形成於覆蓋層270上(步驟150)。因為覆蓋層270的連接,在第一區域210的第二應變材料280生長至比在第二區域220的第二應變材料280’更大的體積。如第6圖所示,在某些實施方式中,在第一區域210的第二應變材料280具有一總體積形成於兩相鄰之第一應變材料260之間,同時在第二區域220的第二應變材料280’只保形地如同薄膜般形成於覆蓋層270上。更明確地說,在第一區域210的第二應變材料280形成於兩相鄰之鑽石形狀的第一應變材料260的上部之間。此外,在第一區域中例示性的第二應變材料280並不形成於兩相鄰之鑽石形狀的第一應變材料260的下部之間的空間。某些例示性的第二應變材料280、280’可係選自於由鍺化矽、碳化矽和碳摻雜的鍺化矽所構成之群組或其組合。可使用一或多種上述之磊晶製程形成例示性的第二應變材料。在某些實施方式中,例示性的第一應變材料260和第二應變材料280可具有鍺濃度介於35-70%的範圍,此鍺濃度大於覆蓋層270的鍺濃度。在某些實施方式中,第一區域210的第二應變材料280具有一高度,高度介於10-60nm、15-50nm或15-30nm。在某些實施方式中,第二應變材料280具有一頂面至少齊平或高於覆蓋層270的頂端。
根據本揭露的某些實施方式,在包含多個第一鰭板結構250的第一區域210中,第一及第二應變材料260、280生長至 一增加的體積使第一區域中增強拉伸應變,進而經由通道增加電荷遷移率。這導致裝置效能急遽性的改善。在給予一通道尺寸和供給電壓下,此具有增加的應力材料體積的半導體證實具有較高的驅動強度。另一方面,在第二區域220中的第二應變材料280’的體積被抑制。因此,可以在不影響第二區域220(記憶體區域)的產品良率的情況下改善第一區域210的裝置效能。
因此,可以得到本揭露例示性的半導體裝置200,而且半導體裝置200至少包含一半導體基板230;多個第一鰭板結構250配置於半導體基板230上;多個第一應變材料260配置於各第一鰭板結構250上;多個覆蓋層270分別形成於各第一應變材料260上,其中至少兩個覆蓋層270和彼此連接;以及一第二應變材料280配置於至少兩個覆蓋層270上,如第5圖所示,兩個覆蓋層270彼此連接。之後,可以使用傳統製程步驟來形成額外的特徵,例如層間介電層、導線等特徵而完成半導體裝置200。在此不再贅述。
第7圖係根據本揭露之另一實施方式半導體裝置之一態樣之剖面示意圖,其中元件和特徵都類似於先前實施方式,並使用相同或類似的參考符號。此實施方式大致和前面的實施方式相同,除了第一區域中,在第一應變材料260上有多於兩個的覆蓋層270(例如在例示性的圖式中有4個覆蓋層)連接至另外一個覆蓋層270,而第二區域的覆蓋層和其他分離。此外,根據某些實施方式,第二區域220中的第二應變材料280’保形地分別形成於各覆蓋層270上。關於此實施方式的細節和先前描述的實施方式類似故 不再贅述。之後,可以使用傳統製程步驟來形成額外的特徵,例如層間介電層、導線等特徵而完成半導體裝置200。在此不再贅述。
如同第7圖所繪示的實施方式,第一區域210中形成的第二應變材料280橫跨多個連接在一起的覆蓋層270、第一應變材料260和鰭板結構250。第一區域210中的第二應變材料280可形成至一高度,此高度介於10-60nm、15-50nm或15-30nm。在某些實施方式中,第二應變材料280具有一頂面,此頂面至少切齊或高於覆蓋層270的頂端。在某些實施方式中,在第二區域220中例示性的第二應變材料保形地分別形成於各覆蓋層270且具有一厚度,此厚度介於0.1-20nm、0.1-10nm、1-10nm或0.5-10nm。
儘管未明確地顯示,該領域中具有通常知識者會理解可執行更多的製程步驟於第6圖和第7圖的結構上。例如,可形成各種金屬間介電層(inter-metal dielectrics,IMD)和其對應的金屬化於層間介電層(ILD)上方。此外,可形成穿過底下的介電層的多個接觸,接觸連接至閘極電極。
在此揭露的實施方式可達成許多優點。例如,本揭露內容是一種半導體裝置及其製造方法,提供簡單且具經濟效益的製程流程實現一應變材料,其應力效應改善通過通道的電荷遷移率,進而改善裝置效能。此外,上述討論的實施方式包含用於半導體裝置的一增加體積的應變材料,能達到改善並增強效能。舉例來說,第一區域中包含多個第一鰭板結構,例如一金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field effect transistor,MOSFET),磊晶應變材料(例如SiGe層)生長至一增加的體積而在第一區域中增強伸張的應變(tensile strain)。同時第二 區域,例如靜態隨機存取記憶體(static random-access memory,SRAM),包含多個第二鰭板結構,第二鰭板結構具有一第二間距,第二間距大於第一鰭板結構的第一間距,磊晶應變材料(例如SiGe層)生長至一所欲的體積但仍維持和其他磊晶應變材料分離。
在一實施方式中,一種半導體裝置,包含:半導體基板;多個鰭板結構配置於基板上;多個第一應變材料配置於各鰭板結構上;多個覆蓋層分別形成於各第一應變材料上,其中至少兩個覆蓋層和彼此連接;以及第二應變材料配置於至少兩個覆蓋層上,此兩個覆蓋層和彼此連接。多個第一應變材料可具有一鑽石形狀。也就是說,第二應變材料形成於兩相鄰鑽石形狀的第一應變材料的上部中間,同時第二應變材料並不形成於兩相鄰鑽石形狀的第一應變材料下部中間的空間。更明確地,多個覆蓋層保形地分別形成於各第一應變材料上。此外,第一應變材料和第二應變材料係獨立選自於由鍺化矽、碳化矽和碳摻雜鍺化矽所構成之群組或其組合;而且覆蓋層的組成材料係選自於由鍺化矽、碳化矽和碳摻雜鍺化矽所構成之群組或其組合。
另一實施方式是一種製造一半導體裝置的方法,包含:提供一半導體基板;形成多個鰭板結構於半導體基板上;分別形成多個第一應變材料於各鰭板結構上;分別形成多個覆蓋層於各第一應變材料上,其中至少兩個在第一應變材料上的覆蓋層形成至一厚度,此厚度使第一應變材料足以連接彼此;以及形成第二應變材料於至少兩個覆蓋層上,兩個覆蓋層彼此連接。多個第一應變材料具有鑽石形狀。第二應變材料形成於兩相鄰的第一 應變材料之間。也就是說,第二應變材料形成於兩相鄰鑽石形狀的第一應變材料的上部之間,同時第二應變材料並不形成於兩相鄰鑽石形狀的第一應變材料下部中間的空間。更明確地,多個覆蓋層保形地分別形成於各第一應變材料上。此外,第一應變材料和第二應變材料係獨立選自於由鍺化矽、碳化矽和碳摻雜鍺化矽或其組合所構成之群組;而且覆蓋層的組成材料係選自於由鍺化矽、碳化矽和碳摻雜鍺化矽或其組合所構成之群組。
更進一步的實施方式為一半導體裝置,包含:半導體基板;多個第一鰭板結構配置於半導體基板的第一區域,以及多個第二鰭板結構配置於半導體基板的第二區域,其中第一鰭板結構的第一間距小於第二鰭板結構的第二間距;多個第一應變材料分別配置於各第一鰭板結構和各第二鰭板結構;多個覆蓋層分別配置於各第一應變材料上,其中覆蓋層連接至第一區域中的另一覆蓋層;以及多個第二應變材料配置於覆蓋層上。尤其是,多個第一應變材料具有鑽石形狀,且第一區域的第二應變材料形成於兩相鄰鑽石形狀的第一應變材料的上部之間。更明確地,覆蓋層保形地分別形成於各第一應變材料上。此外,第二區域的第二應變材料保形地分別形成於各覆蓋層。再者,在第二區域的覆蓋層和另一覆蓋層分離。
前述事項概括數個實施例之特徵,以便彼等熟習此項技術者可更佳地理解本揭示內容之態樣。彼等熟習此項技術者應瞭解,本揭示內容可易於作為設計或修正其他製程及結構之基礎,而實現與本案介紹之實施例相同的目的及/或達到與其相同的優勢。彼等熟習此項技術者亦應瞭解,此種同等構造不脫離本揭 示內容之精神及範疇,而且可在不脫離本揭示內容精神及範疇之情況下進行多種變更、取代及更動。
200:半導體裝置
210:第一區域
220:第二區域
230:半導體基板
240:隔離區域
250:第一鰭板結構
250’:第二鰭板結構
260:第一應變材料
270:覆蓋層
280:第二應變材料
280’:第二應變材料

Claims (10)

  1. 一種半導體裝置,包含:一基板;多個鰭板結構,配置於該基板上;多個第一應變材料,配置於各該鰭板結構上;多個覆蓋層分別地形成於各該第一應變材料上,其中至少二個覆蓋層係彼此連接;以及一第二應變材料,配置於該至少二個覆蓋層上,該至少二個覆蓋層係彼此連接。
  2. 如請求項1所述之該半導體裝置,其中所述多個第一應變材料具有一鑽石形狀。
  3. 如請求項2所述之該半導體裝置,其中該第二應變材料形成於二個相鄰鑽石形狀的第一應變材料的上部之間。
  4. 如請求項2所述之該半導體裝置,其中該第二應變材料並不形成於二個相鄰鑽石形狀的第一應變材料的下部之間的空間。
  5. 一種製造一半導體裝置的方法,包含:形成多個鰭板結構於一基板上;分別形成多個第一應變材料於各該鰭板結構上; 分別形成多個覆蓋層於各該第一應變材料上,其中在所述多個第一應變材料上的至少二個覆蓋層形成至一厚度,該厚度足以使所述多個第一應變材料彼此連接;以及形成一第二應變材料於至少二個覆蓋層上,所述至少二個覆蓋層係彼此連接著。
  6. 如請求項5所述之該半導體裝置的方法,其中所述多個覆蓋層保形地分別形成於各該第一應變材料上。
  7. 如請求項5所述之該半導體裝置的方法,其中該第一應變材料和該第二應變材料係獨立選自於由鍺化矽、碳化矽和碳摻雜鍺化矽所組成之群組或其組合的一材料,以及所述多個覆蓋層係選自於由鍺化矽、碳化矽和碳摻雜鍺化矽所構成之群組或其組合的一摻雜矽。
  8. 一種半導體裝置,包含:一基板;多個第一鰭板結構配置於該基板的一第一區域,以及多個第二鰭板結構配置於該基板的一第二區域,其中所述多個第一鰭板結構的一第一間距小於所述多個第二鰭板結構的一第二間距;多個第一應變材料,分別配置於各該第一鰭板結構上和各該第二鰭板結構上;多個覆蓋層,分別配置於各該第一應變材料上,其中所述多個覆蓋層係連接至該第一區域中的另一覆蓋層;以及多個第二應變材料,配置於所述多個覆蓋層上。
  9. 如請求項8所述之該半導體裝置,其中所述多個覆蓋層保形地分別形成於各該第一應變材料上。
  10. 如請求項8所述之該半導體裝置,其中該第二區域的所述多個第二應變材料保形地分別形成於各該覆蓋層上。
TW105134559A 2015-10-28 2016-10-26 半導體裝置及其製造方法 TWI699886B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/925,670 2015-10-28
US14/925,670 US9502561B1 (en) 2015-10-28 2015-10-28 Semiconductor devices and methods of forming the same

Publications (2)

Publication Number Publication Date
TW201727891A TW201727891A (zh) 2017-08-01
TWI699886B true TWI699886B (zh) 2020-07-21

Family

ID=57287778

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105134559A TWI699886B (zh) 2015-10-28 2016-10-26 半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US9502561B1 (zh)
CN (1) CN106876393B (zh)
TW (1) TWI699886B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9691758B1 (en) * 2016-03-11 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type resistor

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130234215A1 (en) * 2012-03-12 2013-09-12 Kabushiki Kaisha Toshiba Semiconductor device
US20140042500A1 (en) * 2012-08-09 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8906768B2 (en) * 2013-03-15 2014-12-09 GlobalFoundries, Inc. Wrap around stressor formation

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963251B2 (en) * 2013-06-12 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strain technique
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN105470133B (zh) * 2014-09-06 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
US9431534B2 (en) * 2014-12-02 2016-08-30 Globalfoundries Inc. Asymmetric field effect transistor cap layer
CN105826257B (zh) * 2015-01-06 2019-03-12 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
CN105845725B (zh) * 2015-01-12 2019-01-22 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US9275905B1 (en) * 2015-01-28 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure with anti-punch through structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130234215A1 (en) * 2012-03-12 2013-09-12 Kabushiki Kaisha Toshiba Semiconductor device
US20140042500A1 (en) * 2012-08-09 2014-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8906768B2 (en) * 2013-03-15 2014-12-09 GlobalFoundries, Inc. Wrap around stressor formation

Also Published As

Publication number Publication date
CN106876393B (zh) 2021-10-26
TW201727891A (zh) 2017-08-01
CN106876393A (zh) 2017-06-20
US9502561B1 (en) 2016-11-22

Similar Documents

Publication Publication Date Title
US9875942B2 (en) Guard rings including semiconductor fins and regrown regions
TWI702657B (zh) 鰭狀場效電晶體裝置與其形成方法
US11088248B2 (en) LDD-free semiconductor structure and manufacturing method of the same
US9601598B2 (en) Method of manufacturing a fin-like field effect transistor (FinFET) device
US10090300B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US11133306B2 (en) Semiconductor device including fin structures and manufacturing method thereof
US9070742B2 (en) FinFet integrated circuits with uniform fin height and methods for fabricating the same
US9953975B2 (en) Methods for forming STI regions in integrated circuits
KR101800940B1 (ko) 확장된 재성장 영역을 갖는 반도체 구조체 및 그 제조 방법
US8658505B2 (en) Embedded stressors for multigate transistor devices
US9312387B2 (en) Methods of forming FinFET devices with alternative channel materials
TW201735265A (zh) 半導體結構及其製造方法
CN111243961A (zh) 半导体结构及其制造方法
TW201947772A (zh) 混合半導體電晶體結構與製造方法
US9570606B2 (en) FinFET LDMOS device and manufacturing methods
TW202038330A (zh) 積體電路裝置及其形成方法
US9953976B2 (en) Effective device formation for advanced technology nodes with aggressive fin-pitch scaling
TWI799177B (zh) 半導體裝置及其製造方法
TWI699886B (zh) 半導體裝置及其製造方法
TW202333381A (zh) 半導體元件及其製造方法