JP5248797B2 - 薄膜堆積システム内における基板の周辺端部での一酸化炭素中毒を抑制する方法及び装置 - Google Patents

薄膜堆積システム内における基板の周辺端部での一酸化炭素中毒を抑制する方法及び装置 Download PDF

Info

Publication number
JP5248797B2
JP5248797B2 JP2007084912A JP2007084912A JP5248797B2 JP 5248797 B2 JP5248797 B2 JP 5248797B2 JP 2007084912 A JP2007084912 A JP 2007084912A JP 2007084912 A JP2007084912 A JP 2007084912A JP 5248797 B2 JP5248797 B2 JP 5248797B2
Authority
JP
Japan
Prior art keywords
gas
substrate
vapor
plenum
distribution plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007084912A
Other languages
English (en)
Other versions
JP2007277719A (ja
Inventor
健二 鈴木
淳 五味
正道 原
寧 水澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007277719A publication Critical patent/JP2007277719A/ja
Application granted granted Critical
Publication of JP5248797B2 publication Critical patent/JP5248797B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は薄膜堆積のための方法及びシステムに関し、より具体的には、金属カルボニル前駆体から形成される金属層の均一性を改善する方法及びシステムに関する。
集積回路を製造する多層メタライゼーション手法への銅(Cu)金属の導入は、Cu層の密着性及び成長を促進するため、また誘電体材料へのCuの拡散を防止するため、拡散障壁/ライナーの使用を必要とさせ得るものである。誘電体材料上に堆積される障壁/ライナーは、例えばタングステン(W)、モリブデン(Mo)、及びタンタル(Ta)等の、Cuに対して非反応性且つ非混合性であり且つ低い電気抵抗を提供し得る屈折性材料を含み得る。Cuメタライゼーションと誘電体材料とを集積する現行の集積手法は、約400℃と約500℃との間の基板温度又はそれより低い基板温度で為される障壁/ライナー堆積プロセスを必要とし得る。
例えば、130nm以下の技術ノードのCu集積化手法は、低誘電率(以下、low−k)層間誘電体を利用することができ、その後、物理的気相堆積(PVD)によるTa層又はTaN/Ta層、PVDによるCuシード(seed)層、及び電気化学堆積(ECD)によるCu充填が続けられる。一般に、Ta層はその密着性(すなわち、low−k膜に付着可能であること)により選択され、TaN/Ta層はその障壁特性(すなわち、low−k膜へのCuの拡散を防止可能であること)により選択される。
上述のように、Cu拡散障壁としての薄い遷移金属層の研究及び実現に多大な努力が為されてきた。これらの研究には、クロム、タンタル、モリブデン及びタングステン等の金属が含まれる。これら材料の各々はCuとの低い混和性を示す。より最近になり、例えばルテニウム(Ru)及びロジウム(Rh)等のその他の金属が可能性ある障壁層として確認されてきている。なぜなら、これらは従来の高融点金属と同様の挙動を示すと予期されるからである。また一方で、Ru又はRhを使用することにより、例えばTa/TaN等の二層ではなく、単一の障壁層のみの使用が可能にされる。この観測結果はこれらの材料が有する密着性と障壁特性とに拠るものである。例えば、1つのRu層でTa/TaN障壁層を置き換えることができる。さらに、最新の研究により、1つのRu層でCuシード層をも置き換えることができ、バルクCuの充填がRuの堆積の直後に続けられる得ることが見出されてきている。この観測結果はCuとRu層との間の良好な密着性に拠るものである。
従来より、Ru層は熱化学的気相堆積(TCVD)法にて、例えばルテニウムカルボニル前駆体などのルテニウム含有前駆体を熱分解することによって形成可能である。ルテニウムカルボニル前駆体(例えば、Ru(CO)12)の熱分解により堆積されたRu層の材料特性は、基板温度が約400℃未満まで低下されると悪化する。その結果、Ru層の(電気)抵抗の増加と低堆積温度での低質な表面形態(例えば、ノジュールの形成)とにより、熱的に堆積されたRu層への反応副生成物の混和が増大されてしまっていた。何れの影響も、約400℃より低い基板温度でのルテニウムカルボニル前駆体の熱分解による一酸化炭素(CO)の脱離速度の低下によって説明することができる。
さらに、例えばルテニウムカルボニル又はレニウムカルボニル等の金属カルボニルの使用は、それらの低い気圧とそれに伴う輸送問題とに起因して乏しい堆積速度をもたらすものであった。全体的に、現行の堆積システムはこれらの金属膜の堆積を非実用的にするほど低い堆積速度を有することが本発明の発明者によって観測されている。さらに、現行の堆積システムは乏しい膜の均一性を有することも本発明の発明者によって観測されている。
本発明は、薄膜堆積システムにおけるCO中毒(poisoning)を抑制する方法及びシステムを提供することを目的とする。
本発明の一実施形態に従った、基板上に薄膜を形成するための堆積システムは:処理チャンバーであり、該処理チャンバーを排気するように構成されたポンプ系を有する処理チャンバー;前記処理チャンバーに結合され、前記基板を支持し且つ加熱するように構成された基板ホルダー;前記基板ホルダーに結合され、前記基板を取り囲み且つ前記基板のCO中毒を抑制するように構成された防御リング;金属カルボニル前駆体を蒸発(又は昇華)させて金属カルボニル前駆体蒸気を形成するように構成された膜前駆体気化系;前記処理チャンバーに結合され、あるいはその中にあり、前記金属カルボニル前駆体蒸気を前記基板の上方の処理空間に導入するように構成された蒸気分配系;前記膜前駆体気化系の出口に結合された第一端、及び前記蒸気分配系の入口に結合された第二端を有する気相供給系;及び前記膜前駆体気化系若しくは前記気相供給系、又はこれら双方に結合され、COガスを供給して該COガス内の前記金属カルボニル前駆体蒸気を前記蒸気分配系の前記入口に輸送するように構成されたガス供給系を有する。
本発明の他の一実施形態に従った、基板上に金属層を堆積する方法は:堆積システムの処理チャンバー内の基板ホルダー上に基板を設置する工程;前記基板のCO中毒を抑制するために前記基板ホルダー上に、前記基板の周囲を取り囲む防御リングを設置する工程;前記基板を加熱するために、前記基板ホルダーの温度を上昇させる工程;金属カルボニル前駆体蒸気及びCOガスを含有する処理ガスを形成する工程;前記処理チャンバー内に前記処理ガスを導入する工程;及び気相堆積プロセスにより前記基板上に金属層を堆積するために、前記基板を前記処理ガスに晒す工程を有する。
以下の記載においては、本発明の完全な理解を容易にするため、限定ではなく説明の目的で、例えば堆積システムの特定の幾何学配置や様々な構成要素の記載などの具体的な詳細事項について説明する。しかしながら、本発明はこれらの具体的な詳細事項を逸脱した他の実施形態においても実現され得ることは理解されるべきである。
ここでは図面を参照するが、図面において、似通った参照符号は幾つかの図を通して同一又は対応する部分を指定するものである。図1は、一実施形態に従った、金属カルボニル前駆体から基板上に金属層を堆積するための熱化学的気相堆積システム1を概略的に例示している。本発明の実施形態はこれ以降、例えばRu(CO)12等のルテニウムカルボニル前駆体を特に参照して説明されるが、他の金属カルボニル前駆体が使用されてもよく、本発明はこのように限定されないことは理解されるところである。堆積システム1は処理チャンバー10を有し、処理チャンバー10は、金属層が形成される基板25を支持するように構成された基板ホルダー20を有している。処理チャンバー10は気相前駆体供給系40を介して金属前駆体気化系50に結合されている。
処理チャンバー10は更に、ダクト36を介して真空ポンプ系38に結合されている。ここで、ポンプ系38は処理チャンバー10、気相前駆体供給系40、及び金属前駆体気化系50を、基板25上への金属層の形成、及び金属前駆体気化系50内での金属カルボニル前駆体52の気化(又は昇華)に適した圧力まで排気するように構成されている。
なおも図1を参照するに、金属前駆体気化系50は、金属カルボニル前駆体52を貯蔵し、金属カルボニル前駆体52を該金属カルボニル前駆体52を気化させるのに十分な温度まで加熱し、且つ金属カルボニル前駆体蒸気を気相前駆体供給系40に導き入れるように構成されている。金属カルボニル前駆体52は金属前駆体気化系50内での選定加熱条件の下では固体であり得る。他の例では、金属カルボニル前駆体52は液体であり得る。ここでは、用語“気化”、“昇華”及び“蒸発”は、固体又は液体の前駆体から蒸気(気体)への一般的な変態を呼ぶために、この変態が例えば固体から液体を経て気体、固体から気体、液体から気体の何れであるかに拘わらず、相互に入れ替え可能に使用される。以下では、固体の金属カルボニル前駆体52の使用について説明するが、当業者に認識されるように、選定された加熱条件の下で液体である金属カルボニル前駆体も本発明の範囲を逸脱することなく使用可能である。例えば、金属カルボニル前駆体は一般式M(CO)を有することができ、タングステンカルボニル、モリブデンカルボニル、コバルトカルボニル、ロジウムカルボニル、レニウムカルボニル、クロムカルボニル若しくはオスミウムカルボニル、又はこれらの2つ以上の組み合わせを有することができる。これらの金属カルボニルは、以下に限られないが、W(CO)、Ni(CO)、Mo(CO)、Co(CO)、Rh(CO)12、Re(CO)10、Cr(CO)、Ru(CO)12若しくはOs(CO)12、又はこれらの2つ以上の組み合わせを含む。
金属カルボニル前駆体52を気化させる(例えば、固体金属カルボニル前駆体52を昇華させる)ための所望温度を達成するため、金属前駆体気化系50は、気化温度を制御するように構成された気化温度制御系54に結合されている。例えば、ルテニウムカルボニルRu(CO)12を昇華させるため、従来システムにおいては一般に、金属カルボニル前駆体52の温度は約40℃から45℃の温度に上昇させられる。この温度において、Ru(CO)12の気圧は、例えば、約1mTorrから約3mTorrまでの範囲である。蒸発(又は昇華)を起こさせるように金属カルボニル前駆体が加熱されるとき、搬送ガスは金属カルボニル前駆体52の上若しくは間、又はこれらの任意の組み合わせを通されることができる。搬送ガスは、例えば、希ガス、He、Ne、Ar、Kr若しくはXe、又はこれらの2つ以上の組み合わせ等の不活性ガスを含み得る。他の実施形態では、不活性搬送ガスを省略することも意図される。
本発明の一実施形態に従って、不活性搬送ガスにCOガスを付加することができる。他の実施形態では、不活性搬送ガスをCOガスで置き換えることが意図される。例えば、ガス供給系60が金属前駆体気化系50に結合され、例えば、供給管61を介して金属カルボニル前駆体52の下へ、あるいは供給管62を介して金属カルボニル前駆体52の上方へ、搬送ガス、COガス、又はこれらの混合体を供給するように構成される。これに加えて、あるいは代えて、ガス供給系60は、金属カルボニル前駆体52の蒸気が気相前駆体供給系40に入った時又は後に、該金属カルボニル前駆体52蒸気に供給管63を介してガスを供給するように、金属前駆体気化系50より下流の気相前駆体供給系40に結合される。図示されていないが、ガス供給系60は搬送ガス源、COガス源、1つ以上の制御弁、1つ以上のフィルタ、及び質量流制御器を有することができる。例えば、不活性搬送ガスの流量は約0.1規格化cc/分(sccm)と約1000sccmとの間とし得る。他の例では、不活性搬送ガスの流量は約10sccmと約500sccmとの間とし得る。更に他の例では、不活性搬送ガスの流量は約50sccmと約200sccmとの間とし得る。本発明の実施形態に従って、COガスの流量は約0.1sccmから約1000sccmまでの範囲とし得る。他の例では、COガスの流量は約1sccmと約100sccmとの間とし得る。
金属前駆体気化系50より下流では、金属前駆体蒸気はCOガス及び必要に応じての不活性搬送ガスとともに気相供給系40を流れ、処理チャンバー10に結合された或いはその中にある蒸気分配系30へと流れ込む。気相供給系40は、蒸気管の温度を制御し、膜前駆体蒸気の分解及び凝結を防止するために、蒸気管温度制御系42に結合され得る。例えば、蒸気管の温度は気化温度にほぼ等しい値か、気化温度より高い値に設定されることができる。また、例えば、気相供給系40は約50リットル/秒を上回る高い伝導性によって特徴付けられ得る。
再び図1を参照するに、処理チャンバー10に結合された蒸気分配系30はプレナム(plenum)32を有しており、蒸気は蒸気分配板34を通過して基板25上方の処理ゾーン33に入るのに先立ってプレナム32内で分散される。さらに、蒸気分配板34は、該蒸気分配板34の温度を制御するように構成された分配板温度制御系35に結合され得る。例えば、蒸気分配板の温度は蒸気管の温度にほぼ等しい値に設定されることができる。しかしながら、この温度はより低くても、より高くてもよい。
本発明の一実施形態に従って、希釈ガス源37が処理チャンバー10及び/又は蒸気分配系30に結合されており、金属カルボニル前駆体蒸気及びCOガスを含有する処理ガスを希釈するために希釈ガスを付加するように構成されている。図1に示されるように、希釈ガス源37は供給管37aを介して蒸気分配系30に結合され、処理ガスが処理ゾーン33内へと蒸気分配板34を通過する前に、蒸気分配プレナム32内の処理ガスに希釈ガスを付加するように構成されることができる。他の例では、希釈ガス源37は供給管37cを介して処理チャンバー10に結合され、処理ガスが蒸気分配板34を通過した後、基板25上方の処理ゾーン33内にある処理ガスに希釈ガスを付加するように構成されることもできる。更に他の例では、希釈ガス源37は供給管37bを介して蒸気分配系30に結合され、分配板34内にある処理ガスに希釈ガスを付加するように構成されることもできる。当業者に認識されるように、本発明の範囲を逸脱することなく、希釈ガスは蒸気分配系30及び処理チャンバー10の他の位置にて処理ガスに付加されることもできる。
更に他の一実施形態においては、希釈ガスは希釈ガス源37から処理ガスに、基板25上方の1つの領域における希釈ガスの濃度が基板25上方の他の1つの領域における希釈ガスの濃度と異なるように調整可能にされるように、供給管37a、37b、37c又は他の給電管(図示せず)の1つを介して導入される。例えば、基板25の中央領域への希釈ガスの流れは、基板25の周辺領域への希釈ガスの流れと異なっていてもよい。
膜前駆体蒸気が処理ゾーン33に入ると、膜前駆体蒸気は基板表面に吸着されて基板25の加熱温度によって熱分解し、基板25上に薄膜が形成される。基板ホルダー20は、基板温度制御系22に結合されることにより、基板25の温度を上昇させるように構成されている。例えば、基板温度制御系22は基板25の温度を最大で約500℃まで上昇させるように構成され得る。一実施形態において、基板温度は約100℃から約500℃までの範囲とし得る。他の一実施形態においては、基板温度は約300℃から約400℃までの範囲とし得る。また、処理チャンバー10、チャンバー壁の温度を制御するように構成されたチャンバー温度制御系12に結合されることができる。
上述のように、例えばルテニウムカルボニルの場合、従来システムは、金属気相前駆体の分解及び凝結を制限するために、膜前駆体気化系50及び気相供給系40を約40℃から45℃の温度範囲内に操作していた。例えば、ルテニウムカルボニル前駆体は加熱温度にて分解し、以下のような副生成物を形成し得る:
Figure 0005248797
あるいは、
Figure 0005248797
このとき、これらの副生成物は堆積システム1の内表面に吸着(ad)すなわち凝結し得る。この表面への材料の蓄積は、ある1つの基板から次の基板へと、例えばプロセス再現性などの問題を生じさせ得る。他の例では、例えば、ルテニウムカルボニル前駆体は低下された温度において凝結し、再結晶化、すなわち、
Figure 0005248797
を生じさせ得る。
要するに、金属カルボニル前駆体(例えば、Ru(CO)12)の低い気圧と小さいプロセスウィンドウとにより、基板25上への金属層の非常に低い堆積速度がもたらされる。
金属カルボニル前駆体蒸気にCOガスを付加することは、基板への金属カルボニル前駆体の供給を制限してしまう上述の問題を抑制することができる。故に、本発明の一実施形態に従って、ガス管内の金属カルボニル前駆体蒸気の解離を抑制し、それにより化学式(1)の平衡を左側にずらし、処理チャンバー10への金属カルボニル前駆体の供給前に気相前駆体供給系40内で金属カルボニル前駆体が時期尚早に分解することを抑制するように、金属カルボニル前駆体蒸気にCOガスが付加される。金属カルボニル前駆体蒸気へのCOガスの付加は、気化温度を約40℃から約150℃又はそれ以上に上昇させることを可能にすると考えられる。この上昇された温度は金属カルボニル前駆体の気圧を高め、処理チャンバーへの金属カルボニル前駆体の供給量、ひいては基板25上への金属の堆積速度を増大させる。さらに、例えばAr等の不活性ガスとCOガスとの混合体を金属カルボニル前駆体の上又は間に流すことにより、金属カルボニル前駆体の時期尚早の分解が抑制されることが視覚的に観察されている。
本発明の一実施形態に従って、Ru(CO)12前駆体蒸気へのCOガスの付加は、Ru(CO)12前駆体の気化温度を約40℃から約150℃に維持することを可能にする。他の例では、気化温度は約60℃から約90℃に維持され得る。
金属カルボニル前駆体の熱分解、及びそれに続く基板25上への金属堆積は、主として、基板25からのCOの除去、及びCO副生成物の脱着によって進展すると考えられる。堆積中におけるCO副生成物の金属層への混入は、金属カルボニル前駆体の不完全な分解、金属層からのCO副生成物の不完全な除去、処理チャンバー10から金属層上へのCO副生成物の再吸着に起因し得る。
堆積中におけるCOの金属層への混入は金属層のノジュールの形態で表面粗さをもたらし、金属層へのCO副生成物の混入の増大によりノジュールの成長が促進されると考えられる。ノジュールの数は、金属層の厚さが厚くなるに連れて多くなると予期される。さらに、金属層へのCO副生成物の混入は金属層の抵抗を増大させる。
金属層へのCO副生成物の混入は、(1)処理圧力を低下させること、及び(2)基板温度を高めることによって抑制され得る。本発明に従って、上述の問題も、処理チャンバー内の副生成物及びCOガスの分圧を制御・低減するために、処理チャンバー内の金属カルボニル前駆体蒸気及びCOガスを含有する処理ガスに希釈ガスを付加することによって抑制され得る。故に、本発明の一実施形態に従って、金属層上のCO副生成物の分圧及び処理チャンバー10内のCOの分圧を制御・低減し、それにより滑らかな金属層を形成するために、希釈ガス源37からの希釈ガスが処理ガスに付加される。希釈ガスは、例えば、希ガス、He、Ne、Ar、Kr若しくはXe、又はこれらの2つ以上の混合体等の不活性ガスを含み得る。希釈ガスは更にCOを含有していてもよい。また、希釈ガスは更に、例えば電気抵抗などの金属層の材料特性を改善するために還元ガスを含有していてもよい。還元ガスは、例えば、H、シリコン含有ガス(例えば、SiH、Si若しくはSiCl)、ボロン含有ガス(例えば、BH、B若しくはB)、又は窒素含有ガス(例えば、NH)を含み得る。本発明の一実施形態に従って、処理チャンバーの圧力は約0.1mTorrと約200mTorrとの間にし得る。他の例では、処理チャンバーの圧力は約1mTorrと約100mTorrとの間にし得る。更に他の例では、処理チャンバーの圧力は約2mTorrと約50mTorrとの間にし得る。
金属カルボニル前駆体蒸気へのCOガスの付加は金属カルボニル前駆体蒸気の熱的安定性を増大させるので、処理ガス内の金属カルボニル前駆体蒸気のCOガスに対する相対濃度は、ある一定の基板温度における基板25上での金属カルボニル前駆体の分解速度を制御するために利用可能である。さらに、基板温度も、基板25上での分解速度(及び、故に堆積速度)を制御するために利用可能である。当業者に容易に認識されるように、COガス量及び基板温度は、金属カルボニル前駆体の所望の気化温度と、基板25上への金属カルボニル前駆体の所望の堆積速度を達成することとを可能にするように、容易に変化させられることができる。
また、処理ガス内のCOガス量は、金属カルボニル前駆体からの基板25上への金属の堆積が反応速度論的な限界温度領域で起こるように選定可能である。例えば、処理ガス内のCOガス量は、金属堆積プロセスが反応速度論的な限界温度領域で起こることが観測されるまで増大され得る。反応速度論的な限界温度領域とは、化学的気相堆積プロセスの堆積速度が基板表面での化学反応の反応速度論(kinetics)によって制限されるような堆積条件範囲のことであり、一般的に堆積速度の温度に対する強い依存性によって特徴付けられる。反応速度論的限界温度領域とは異なり、物質移動(mass−transfer)的限界領域はより高い基板温度にて通常観測され、堆積速度が基板表面への化学反応体の流れによって制限される堆積条件範囲を含んでいる。物質移動的限界領域は、堆積速度の金属カルボニル前駆体流量に対する強い依存性によって特徴付けられ、堆積温度には依存しない。反応速度論的限界領域での金属堆積は、通常、良好な段差被覆性と、パターニングされた基板上の金属層の良好な等方性とをもたらす。等方性は、一般に、パターニングされた基板上の造形物の側壁にある金属層の最薄部の厚さを最厚部の厚さで割った値として定義される。段差被覆性は、一般に、側壁の被覆率(側壁の金属層の厚さを、造形物から離れた位置の金属層の厚さで割ったもの)を底部の被覆率(造形物の底面の金属層の厚さを、造形物から離れた位置の金属層の厚さで割ったもの)で割った値として定義される。
上述のように、処理ガスへの希釈ガスの導入は、所望の特性を有する薄い金属膜を用意するために、金属層上のCO副生成物の分圧、及び処理チャンバー10内のCOの分圧を制御・低減するのに利用可能である。しかしながら、CO副生成物若しくはCOの分圧、又はこれら双方の分圧は、基板25の面内でバラつき得るものであり、故に不均一な膜特性をもたらしてしまうことが本発明の発明者によって観測されている。例えば、従来の基板ホルダー20の端部の温度が基板25の温度より高くなり得ることが疑われる。図10Aに示された例においては、基板ホルダー20は約220℃から約235℃の温度範囲まで加熱されている。基板25と基板ホルダー20との間の有限の熱的接触のため、基板25の温度は一般的に低くなり、例えば、約160℃から約170℃である。より高い基板ホルダー20の端部温度は、基板25の周辺端部に及ぶ領域まで(先に示唆されたように)CO副生成物の生成を増大(又は、相対的に増大)させ得る。CO副生成物は基板25の周辺端部に拡散し、基板25の周辺端部に近接して形成された金属薄膜のCO中毒を引き起こし得る。故に、図10Bに示された本発明の一実施形態に従って、基板ホルダー20の周辺端部上でのCO副生成物の生成の相対的な増大が抑制されるように、防御リング21が基板ホルダー20の周辺端部に配置され且つ基板25を取り囲むように構成される。
防御リング21と基板ホルダー20との間の有限の熱接触のため、防御リングの温度(T)は基板ホルダー20の温度と基板25の温度との間の値まで達することになる。望ましくは、防御リング21の温度(T)は基板25の温度と実質的に等しくなる。
防御リング21は基板25の周辺端部から基板ホルダー20の周辺端部まで径方向に延在し得る。さらに、防御リング21は基板ホルダー20の外周角部を覆っていてもよく、また、(図10Bに示されるように)基板ホルダー20の側面に沿って部分的あるいは全体的に延在していてもよい。防御リング21は、例えばアルミニウム、ステンレス鋼などの金属から製造されていてもよく、あるいはセラミック又はプラスチックから製造されていてもよい。また、防御リング21は、例えば陽極酸化又は吹き付け塗装による表面層などの保護用障壁によって被覆されていてもよい。例えば、防御リングは、陽極酸化されたアルミニウム、アルミナ、窒化アルミニウム、サファイア、石英、シリコン、窒化シリコン、炭化シリコン、炭素、テフロン(登録商標)、カプトン(登録商標)等を含み得る。さらに、防御リング21と基板ホルダー20との間に断熱材が配置されていてもよい。例えば、断熱材は、防御リング21と基板ホルダー20との間の物理的な接触を制限する隙間を含んでいてもよい。
なおも図1を参照するに、堆積システム1は必要に応じて、例えば、図1に示されるように気相供給系40に結合されたその場(in−situ)洗浄系70を用いて周期的に洗浄されてもよい。堆積システム1の内表面に蓄積された残留物を除去するため、その場洗浄系70は操作者によって決定された頻度で堆積システム1の所定の洗浄を実行することができる。その場洗浄系70は、例えば、化学反応をして上記残留物を除去可能な化学的ラジカルを導入するように構成されたラジカル発生器を有し得る。また、例えば、その場洗浄系70はオゾンの分圧を導入するように構成されたオゾン発生器を含み得る。例えば、ラジカル発生器は酸素(O)、三フッ化窒素(NF)、O、XeF、ClF、又はC(若しくは、より一般的には、C)から酸素ラジカル又はフッ素ラジカルを発生するように構成された上流のプラズマ源を含み得る。ラジカル発生器は、MKSインスツルメント社から商業的に入手可能なASTRON(登録商標)反応ガス発生器を含み得る。
なおも図1を参照するに、堆積システム1は更に、該堆積システム1の動作を操作・制御するように構成された制御系80を含んでいる。制御系80は処理チャンバー10、基板ホルダー20、基板温度制御系22、チャンバー温度制御系12、蒸気分配系30、気相供給系40、膜前駆体気化系50、搬送ガス供給系60、希釈ガス源37、及び必要に応じてのその場洗浄系70に結合されている。
図2は、例えばルテニウム(Ru)膜などの金属膜を基板上に堆積するための、他の一実施形態に従った堆積システム100を例示している。堆積システム100は処理チャンバー110を有し、処理チャンバー110は、金属層が形成される基板125を支持するように構成された基板ホルダー120を有している。処理チャンバー110は前駆体供給系105に結合されており、前駆体供給系105は、金属カルボニル前駆体152を貯蔵且つ蒸発させる金属前駆体気化系150、及び金属カルボニル前駆体152を処理チャンバー110に輸送する気相前駆体供給系140を有している。
処理チャンバー110は上側チャンバー部111、下側チャンバー部112、及び排気チャンバー113を有している。下側チャンバー部112には開口114が形成されており、そこで底部112は排気チャンバー113に結合している。
なおも図2を参照するに、基板ホルダー120は、処理されるべき基板(ウェハ)125を支持する水平面を備えている。基板ホルダー120は、排気チャンバー113の下側部分から上方に延在する円筒形の支持体122によって支持されている。基板ホルダー120上の基板125でのCO中毒を抑制する防御リング124が、基板ホルダー120の端部に設けられている。さらに、基板ホルダー120は、基板ホルダー温度制御系128に結合されたヒータ126を有している。ヒータ126は、例えば、1つ以上の抵抗加熱素子を含み得る。他の例では、ヒータ126は、例えば、タングステンハロゲンランプ等の放射加熱系を含み得る。基板ホルダー温度制御系128は、1つ以上の加熱素子に電力供給する電源、基板温度若しくは基板ホルダー温度又はこれら双方を測定する1つ以上の温度センサと、監視、調整、又は基板125若しくは基板ホルダー120の温度の制御の少なくとも1つを実行する制御器とを含み得る。
一実施形態に従って、基板ホルダー120の周辺端部上でのCO副生成物の生成の相対的な増大が抑制されるように、防御リング124が基板ホルダー120の周辺端部に配置され且つ基板125を取り囲むように構成されている。防御リング124と基板ホルダー120との間の有限の熱接触のため、防御リングの温度(T)は基板ホルダー120の温度と基板125の温度との間の値まで達することになる。望ましくは、防御リング124の温度(T)は基板125の温度と実質的に等しくなる。
防御リング124は基板125の周辺端部から基板ホルダー120の周辺端部まで径方向に延在し得る。さらに、防御リング124は基板ホルダー120の外周角部を覆っていてもよく、また、基板ホルダー120の側面に沿って部分的あるいは全体的に延在していてもよい。防御リング124は、例えばアルミニウム、ステンレス鋼などの金属から製造されていてもよく、あるいはセラミック又はプラスチックから製造されていてもよい。また、防御リング124は、例えば陽極酸化又は吹き付け塗装による表面層などの保護用障壁によって被覆されていてもよい。例えば、防御リングは、陽極酸化されたアルミニウム、アルミナ、窒化アルミニウム、サファイア、石英、シリコン、窒化シリコン、炭化シリコン、炭素、テフロン(登録商標)、カプトン(登録商標)等を含み得る。さらに、防御リング124と基板ホルダー120との間に断熱材が配置されていてもよい。
処理において、加熱された基板125は金属カルボニル前駆体蒸気を熱分解することができ、基板125上への金属層の堆積を可能にする。一実施形態によれば、金属カルボニル前駆体152は、例えばRu(CO)12であるルテニウムカルボニル前駆体とし得る。熱化学的気相堆積の当業者に認識されるように、他の金属カルボニル前駆体や他のルテニウムカルボニル前駆体も本発明の範囲を逸脱することなく使用可能である。基板温度120は、所望のRu金属層又は他の金属層を基板125上へ堆積するのに適した所定温度まで加熱される。さらに、チャンバー壁を所定温度に加熱するために、チャンバー温度制御系121に結合されたヒータ(図示せず)が処理チャンバー110の壁に内蔵されてもよい。このヒータは処理チャンバー110の壁の温度を、約40℃から約150℃、又は約40℃から約80℃に維持することができる。処理チャンバーの圧力を測定するために圧力計が用いられる。本発明の一実施形態に従って、処理チャンバーの圧力は約0.1mTorrと約200mTorrとの間とし得る。他の例では、処理チャンバーの圧力は約1mTorrと約100mTorrとの間とし得る。更に他の例では、処理チャンバーの圧力は約2mTorrと約50mTorrとの間とし得る。
また、図2に示されるように、蒸気分配系130が処理チャンバー110の上側チャンバー部111に結合されている。蒸気分配系130は、前駆体蒸気を蒸気分配プレナム132から基板125上方の処理ゾーン133に1つ以上の開口134を介して導き入れるように構成された蒸気分配板を有している。
本発明の一実施形態に従って、希釈ガス源137が処理チャンバー110に結合されており、金属カルボニル前駆体蒸気及びCOガスを含有する処理ガスを希釈するために、供給管137a、137b及び/又は137c、弁197、1つ以上のフィルタ(図示せず)、並びに質量流制御器(図示せず)を用いて希釈ガスを付加するように構成されている。図2に示されるように、希釈ガス源137は処理チャンバー110の蒸気分配系130に結合され、処理ガスが基板125上の処理ゾーン133内へと蒸気分配板131を通過する前に、蒸気分配プレナム132内の処理ガスに供給管137aを介して希釈ガスを付加するように構成されることができる。あるいは、希釈ガス源137は蒸気分配板131内にある処理ガスに供給管137cを介して希釈ガスを付加するように構成されることもできる。他の例では、希釈ガス源137は処理チャンバーに結合され、処理ガスが蒸気分配板131を通過した後、処理ゾーン133内にある処理ガスに供給管137bを介して、希釈ガスを付加するように構成されることもできる。当業者に認識されるように、本発明の範囲を逸脱することなく、希釈ガスは処理チャンバー110の他の位置にて処理ガスに付加されることもできる。
更に他の一実施形態においては、希釈ガスは希釈ガス源137から処理ガスに、基板125上方の1つの領域における希釈ガスの濃度が基板125上方の他の1つの領域における希釈ガスの濃度と異なるように調整可能にされるように、供給管137a、137b、137c又は他の給電管(図示せず)の1つを介して導入される。例えば、基板125の中央領域への希釈ガスの流れは、基板125の周辺領域への希釈ガスの流れと異なっていてもよい。
さらに、気相前駆体供給系140から蒸気分配プレナム132内に金属カルボニル前駆体を導入するための開口135が上部チャンバー部111に設けられている。また、蒸気分配系130の温度を制御し、それにより蒸気分配系130内の金属カルボニル前駆体の分解又は凝結を防止するために、例えば冷却あるいは加熱された流体を流すように構成された同心の流体チャネル等の温度制御素子136が設けられている。例えば、蒸気分配温度制御系138から流体チャネルに、例えば水などの流体が供給され得る。蒸気分配温度制御系138は、流体源、熱交換器、流体温度若しくは蒸気分配板の温度又はこれらの双方を測定する1つ以上の温度センサ、及び蒸気分配板131の温度を約20℃から約150℃に制御する制御器を含み得る。
図2に例示されるように、金属前駆体気化系150は金属カルボニル前駆体152を保持し、金属カルボニル前駆体の温度を上昇させることによって金属カルボニル前駆体152を蒸発(又は昇華)させるように構成されている。金属カルボニル前駆体152の所望の気圧を生成する温度に金属カルボニル前駆体152を維持するように該金属カルボニル前駆体152を加熱する前駆体ヒータ154が設けられている。前駆体ヒータ154は、金属カルボニル前駆体152の温度を制御する気化温度制御系156に結合されている。例えば、前駆体ヒータ154は金属カルボニル前駆体152の温度を約40℃から約150℃、又は約60℃から約90℃に調整するように構成されることができる。
金属カルボニル前駆体152が蒸発(又は昇華)するように加熱されるとき、搬送ガスは金属カルボニル前駆体152の上若しくは間、又はこれらの任意の組み合わせを通されることができる。搬送ガスは、例えば希ガス(すなわち、He、Ne、Ar、Kr、Xe)等の不活性ガスを含み得る。他の実施形態では、不活性搬送ガスを省略することも意図される。本発明の一実施形態に従って、不活性搬送ガスにCOガスを付加することができる。他の実施形態では、不活性搬送ガスをCOガスで置き換えることが意図される。例えば、ガス供給系160が金属前駆体気化系150に結合され、例えば、金属カルボニル前駆体152の上若しくは間へ、搬送ガス、COガス、又はこれらの双方を流すように構成される。図2には示されていないが、これに加えて、あるいは代えて、ガス供給系160は、金属カルボニル前駆体152の蒸気が気相前駆体供給系140に入った時又は後に、該金属カルボニル前駆体152の蒸気にCOガス及び必要に応じての不活性ガスを供給するように気相前駆体供給系140に結合され得る。ガス供給系160は不活性搬送ガス、COガス、又はこれらの混合体を含有するガス源161、1つ以上の制御弁162、1つ以上のフィルタ164、及び質量流制御器165を有することができる。例えば、不活性搬送ガス又はCOガスの質量流量は約0.1sccmから約1000sccmの範囲とし得る。
また、金属前駆体気化系150からの総ガス流を測定するためにセンサ166が設けられている。センサ166は例えば質量流制御器を有することができ、センサ166及び質量流制御器165を用いて、処理チャンバー110に届けられる金属カルボニル前駆体蒸気の量を決定することができる。他の例では、処理チャンバー110へのガス流内の金属カルボニル前駆体の濃度を測定するために、センサ166は光吸収センサを有し得る。
センサ166の下流にバイパス管167を配置し、気相供給系140を排気管116に接続することができる。バイパス管167は、気相前駆体供給系140を排気するため、また処理チャンバー110への金属カルボニル前駆体の供給を安定させるために設けられる。さらに、気相前駆体供給系140の分岐の下流に位置するバイパス弁168がバイパス管167に設けられている。
なおも図2を参照するに、気相前駆体供給系140は第1の弁141及び第2の弁142を備える高伝導性の蒸気管を有している。また、気相前駆体供給系140は更に、該気相前駆体供給系140をヒータ(図示せず)によって加熱するように構成された蒸気管温度制御系143を有し得る。蒸気管の温度は、該蒸気管内での金属カルボニル前駆体蒸気の凝結を防止するように制御され得る。蒸気管の温度は約20℃から約100℃、又は約40℃から約90℃に制御され得る。
さらに、ガス供給系190からCOガスが供給されることができる。例えば、ガス供給系190は気相前駆体供給系140に結合され、例えば、弁141の下流の気相前駆体供給系内でCOガスを金属カルボニル前駆体蒸気に混合するように構成されている。ガス供給系190はCOガス源191、1つ以上の制御弁192、1つ以上のフィルタ194、及び質量流制御器195を有し得る。例えば、COガスの質量流量は約0.1sccmから約1000sccmの範囲とし得る。
質量流制御器165及び195、並びに弁162、192、168、141及び142は、不活性搬送ガス、COガス及び金属カルボニル前駆体蒸気の供給、遮断及び流れを制御する制御器196によって制御される。センサ166も制御器196に接続されており、制御器196は、処理チャンバー110への金属カルボニル前駆体の所望の流れが得られるように、質量流制御器165を流れる搬送ガス流をセンサ166の出力に基づいて制御することができる。
さらに、上述のように、また図2に示されるように、必要に応じてのその場(in−situ)洗浄系170が堆積システム100の前駆体供給系105に洗浄弁172を介して結合されている。例えば、その場洗浄系170は気相供給系140に結合され得る。その場洗浄系170は、例えば、化学反応をして残留物を除去可能な化学的ラジカルを導入するように構成されたラジカル発生器を有し得る。また、例えば、その場洗浄系170はオゾンの分圧を導入するように構成されたオゾン発生器を含み得る。例えば、ラジカル発生器は酸素(O)、三フッ化窒素(NF)、ClF、O、XeF、又はC(若しくは、より一般的には、C)から酸素ラジカル又はフッ素ラジカルを発生するように構成された上流のプラズマ源を含み得る。ラジカル発生器は、MKSインスツルメント社から商業的に入手可能なASTRON(登録商標)反応ガス発生器を含み得る。
図2に例示されるように、排気管116が排気チャンバー113をポンプ系118に接続している。真空ポンプ119は、処理チャンバー110を所望の真空度まで排気するため、また処理中に処理チャンバー110からガス種を除去するために使用される。自動圧力制御器(APC)115及びトラップ117が真空ポンプ119と直列にして使用され得る。真空ポンプ119は、最大で毎秒500リットル(及び、以上)のポンプ速度を実現可能なターボ分子ポンプ(TMP)を含み得る。他の例では、真空ポンプ119はドライ式の粗引きポンプを含み得る。処理中、処理ガスが処理チャンバー110に導入されることが可能であり、チャンバー圧力はAPC115によって調整可能である。APC115はバタフライ弁又は仕切り弁を有し得る。トラップ117は未反応の金属カルボニル前駆物質及び副生成物を処理チャンバー110から収集することができる。
処理チャンバー110内の基板ホルダー120を再び参照するに、図2に示されるように、基板125を保持、上昇及び下降させるために3つの基板リフトピン127(2つのみが図示されている)が設けられている。基板リフトピン127はプレート123に結合されており、基板ホルダー120の上面より下まで下降させられることができる。例えば空気シリンダーを用いる駆動機構129が、プレート123を上昇させたり下降させたりする手段を提供している。基板125はロボット搬送系(図示せず)によって仕切り弁200及びチャンバー貫通路202を通して処理チャンバー10に搬出入され、基板リフトピン127によって受け取られる。基板125は搬送系から受け取られると、基板リフトピン127を下降させることによって基板ホルダー120の上面まで下降させられる。
再び図2を参照するに、制御器180はマイクロプロセッサ、メモリ、及び、処理システム100からの出力を監視するとともに処理システム100への入力を伝達し且つアクティブにするに十分な制御電圧を生成可能なデジタル入/出力ポートを含んでいる。さらに、処理システム制御器180は、処理チャンバー110、前駆体供給系105(制御器196、蒸気管温度制御系143、金属前駆体気化系150、ガス供給系190、ガス供給系160、及び気化温度制御系156を含む)、蒸気分配温度制御系138、希釈ガス源137、真空ポンプ系118、及び基板ホルダー温度制御系128に結合されており、それらと情報を交換する。真空ポンプ系118において、制御器180は処理チャンバー110内の圧力を制御する自動圧力制御器115に結合されており、それと情報を交換する。メモリ内に格納されたプログラムが、堆積システム100の上述の構成要素を蓄積されたプロセスレシピに従って制御するために使用される。処理システム制御器180の一例はDELL社から入手可能なDELL PRECISION WORKSTATION610(登録商標)である。制御器180は汎用コンピュータ、デジタル信号プロセッサ等として実現されてもよい。
制御器180は、堆積システム100に対してローカルに配置されてもよいし、インターネット又はイントラネットを介して堆積システム100に対して遠隔的に配置されてもよい。故に、制御器180は、直接的な接続、インターネット又はイントラネットの少なくとも1つを用いて堆積システム100とデータ交換することができる。制御器180は顧客サイト(すなわち、デバイスの製造者など)でイントラネットに結合されてもよいし、製造供給元サイト(すなわち、装置の製造者)でイントラネットに結合されてもよい。さらに、他のコンピュータ(すなわち、制御器、サーバ等)は、直接的な接続、インターネット又はイントラネットの少なくとも1つを介してデータ交換するために制御器180にアクセスし得る。
続いて図3を参照するに、本発明の一実施形態に従った蒸気分配系230が例示されている。蒸気分配系230は、堆積システムの処理チャンバー(例えば、堆積システム1又は100それぞれの処理チャンバー10又は110)に結合されるか、その中にあるように構成された筐体236、及び該筐体236に結合されるように構成された蒸気分配板231を有しており、筐体236及び蒸気分配板231が組み合わさってプレナム232を形成している。蒸気分配系230は開口235を介して気相供給系240からプレナム232への処理ガス220を受け取るように構成されている。蒸気分配板231は、プレナム232からの処理ガス220を、金属膜が形成されるべき基板(図示せず)に近接する処理空間233に導入且つ分配するように配置された複数の開口234を有している。
また、蒸気分配系230は、希釈ガス源(図示せず)からプレナム232への希釈ガス250を受け入れ、処理ガス220と希釈ガス250とがプレナム232内で混合することを可能にするに構成されている。その後、希釈ガス250と処理ガス220との混合体は蒸気分配板231によって処理空間233内に分配される。
図3に例示された更なる一実施形態においては、プレナム232は、例えば必要に応じての間仕切り232Cを用いて周辺プレナム領域232Aと中央プレナム領域232Bとに仕切られており、その結果、プレナム232の1つ又は複数の選択領域(例えば、周辺プレナム領域232A)のみが希釈ガス250を受け入れる。希釈ガス250は、例えば、Ar等の不活性ガス又は上述された希釈ガスの何れか1つを含み得る。プレナム232内に複数の間仕切り及び希釈ガス供給を配置することは、希釈ガスが処理空間233に分配されるときに処理ガス220内に一定でない希釈ガス濃度を作り出すための如何なる数の所望領域をも作り出すことができる。
続いて図4を参照するに、本発明の他の一実施形態に従った蒸気分配系330が例示されている。蒸気分配系330は、図4に示されるように、堆積システムの処理チャンバー(例えば、堆積システム1又は100それぞれの処理チャンバー10又は110)に結合されるか、その中にあるように構成された筐体336と、筐体336及び該筐体336に開口335と蒸気分配板331との間で結合された中間蒸気分配板341に結合されるように構成された蒸気分配板331とを有しており、筐体336、蒸気分配板331及び中間蒸気分配板341とが組み合わさって、開口335と中間蒸気分配板341との間のプレナム332と、分配板331と341との間の中間プレナム342とを形成している。蒸気分配系330は開口335を介して気相供給系340からプレナム332への処理ガス320を受け取るように構成されている。中間蒸気分配板341は、プレナム332内の処理ガス320を中間プレナム342に導入するように配置された複数の開口344を有している。蒸気分配板331は、中間プレナム342からの処理ガス320を、金属膜が形成されるべき基板(図示せず)に近接する処理空間333に導入且つ分配するように配置された複数の開口334を有している。
また、蒸気分配系330は、希釈ガス源(図示せず)から中間プレナム342への希釈ガス350を受け入れ、処理ガス320と希釈ガス350とが中間プレナム342内で混合することを可能にするに構成されている。その後、希釈ガス350と処理ガス320との混合体は蒸気分配板331によって処理空間333内に分配される。希釈ガス350は、例えば、Ar等の不活性ガス又は上述された希釈ガスの何れか1つを含み得る。
更なる一実施形態においては、中間プレナム342は、例えば必要に応じての間仕切り342Cを用いて周辺プレナム領域342Aと中央プレナム領域342Bとに仕切られており、その結果、中間プレナム342の1つ又は複数の選択領域(例えば、周辺プレナム領域342A)のみが希釈ガス350を受け入れる。さらに、一実施形態においては、中間蒸気分配板341内の複数の開口344は蒸気分配板331内の複数の開口334と揃えられる。図4に示された代替実施形態においては、中間蒸気分配板341内の複数の開口344は蒸気分配板331内の複数の開口334と揃えられていない。
続いて図5を参照するに、本発明の他の一実施形態に従った蒸気分配系430が例示されている。蒸気分配系430は、堆積システムの処理チャンバー(例えば、堆積システム1又は100それぞれの処理チャンバー10又は110)に結合されるか、その中にあるように構成された筐体436と、筐体436に結合されるように構成されたマルチガス蒸気分配板431とを有しており、筐体436及びマルチガス蒸気分配板431とが組み合わさってプレナム432を形成している。蒸気分配系430は開口435を介して気相供給系440からプレナム432への処理ガス420を受け取るように構成されている。マルチガス蒸気分配板431は、プレナム432からの処理ガス420を、金属膜が形成されるべき基板(図示せず)に近接する処理空間433に導入且つ分配するように配置された第1の組の開口434を有している。
また、マルチガス蒸気分配板431は、該マルチガス蒸気分配板431内に埋め込まれた中間プレナム442に結合された第2の組の開口444を有している。蒸気分配系430は、希釈ガス源(図示せず)から中間プレナム442への希釈ガス450を受け入れ、中間プレナム442からの希釈ガス450を、処理空間433内で処理ガス420と均一に混合するように処理空間433に導入するように構成されている。希釈ガス450は、例えば、Ar等の不活性ガス又は上述された希釈ガスの何れか1つを含み得る。
続いて図6を参照するに、本発明の他の一実施形態に従った蒸気分配系530が例示されている。蒸気分配系530は、堆積システムの処理チャンバー(例えば、堆積システム1又は100それぞれの処理チャンバー10又は110)に結合されるか、その中にあるように構成された筐体536と、筐体536に結合されるように構成されたマルチガス蒸気分配板531とを有しており、筐体536及びマルチガス蒸気分配板531とが組み合わさってプレナム532を形成している。蒸気分配系530は開口535を介して気相供給系540からプレナム532への処理ガス520を受け取るように構成されている。マルチガス蒸気分配板531は、プレナム532からの処理ガス520を、金属膜が形成されるべき基板(図示せず)に近接する処理空間533に導入且つ分配するように配置された第1の組の開口534を有している。
また、マルチガス蒸気分配板531は、該マルチガス蒸気分配板531内に埋め込まれた中間周辺プレナム542に結合された第2の組の周辺開口544を有している。蒸気分配系530は、希釈ガス源(図示せず)から中間周辺プレナム542への第1の希釈ガス550を受け入れ、中間周辺プレナム542からの第1の希釈ガス550を、基板の周辺領域の実質的に上方にあたる処理空間533の周辺領域に導入して該周辺領域内で第1の希釈ガス550を処理ガス520と混合させるように構成されている。さらに、マルチガス蒸気分配板531は、該マルチガス蒸気分配板531内に埋め込まれた中間中央プレナム562に結合された第3の組の開口564を有している。蒸気分配系530は更に、希釈ガス源(図示せず)から中間中央プレナム562への第2の希釈ガス570を受け入れ、中間中央プレナム562からの第2の希釈ガス570を、基板の中央領域の上方にあたる処理空間533の中央領域に導入して該中央領域内で第2の希釈ガス570を処理ガス520と混合させるように構成されている。第1の希釈ガス550の流量及び第2の希釈ガス570の流量は、基板に堆積される金属膜の均一性に変化させるために、互いに対して変えられてもよい。第1の希釈ガス550及び第2の希釈ガス570は、例えば、Ar等の不活性ガス又は上述された希釈ガスの何れか1つを含み得る。
続いて図7を参照するに、本発明の他の一実施形態に従った蒸気分配系630が例示されている。蒸気分配系630は、堆積システムの処理チャンバー(例えば、堆積システム1又は100それぞれの処理チャンバー10又は110)に結合されるか、その中にあるように構成された筐体636と、筐体636に結合されるように構成されたマルチガス蒸気分配板631とを有しており、筐体636及びマルチガス蒸気分配板631とが組み合わさってプレナム632を形成している。蒸気分配系630は開口635を介して気相供給系640からプレナム632への処理ガス620を受け取るように構成されている。マルチガス蒸気分配板631は、プレナム632からの処理ガス620を、金属膜が形成されるべき基板(図示せず)に近接する処理空間633に導入且つ分配するように配置された第1の組の開口634を有している。
また、マルチガス蒸気分配板631は、該マルチガス蒸気分配板631内に埋め込まれた中間周辺プレナム642に結合された第2の組の周辺開口644を有している。蒸気分配系630は、希釈ガス源(図示せず)から中間周辺プレナム642への希釈ガス650を受け入れ、中間周辺プレナム642からの希釈ガス650を、基板の周辺領域の実質的に上方にあたる処理空間633の周辺領域に導入して該周辺領域内で希釈ガス650を処理ガス620と混合させるように構成されている。希釈ガス650は、例えば、Ar等の不活性ガス又は上述された希釈ガスの何れか1つを含み得る。
図8は、本発明の一実施形態に従って基板上に金属層を堆積する方法を例示している。方法700は、710にて、堆積システムの処理チャンバー内に基板を設置することを含んでいる。例えば、堆積システムは図1及び2に記載された堆積システムを含み得る。基板は例えばSi基板とし得る。Si基板は形成されるデバイスの種類に応じてn型又はp型とし得る。基板は如何なる大きさとすることもでき、例えば200mm基板、300mm基板、又は更に大きい基板とし得る。本発明の一実施形態に従って、基板は1つ以上のビア若しくはトレンチ、又はこれらの組み合わせを含むパターニングされた基板とし得る。720にて、堆積システム内の基板ホルダーの、基板を取り囲む周辺端部に防御リングが配置される。基板ホルダーの温度が、730にて、約500℃を超えない或る温度まで上昇させられる。これを行う際、基板及び防御リングも基板ホルダーの温度より低い温度まで上昇させられる。
740にて、金属カルボニル前駆体蒸気及びCOガスを含有する処理ガスが形成される。処理ガスは更に不活性搬送ガスを含有し得る。上述のように、一実施形態に従って、金属カルボニル前駆体は例えばRu(CO)12であるルテニウムカルボニル前駆体とし得る。金属カルボニル前駆体蒸気へのCOガスの付加は、金属カルボニル前駆体の気化温度を上昇させることを可能にする。温度上昇が金属カルボニル前駆体の気圧を高める結果、処理チャンバーへの金属カルボニル前駆体の供給量が増大され、ひいては基板上への金属の堆積速度が増大される。
本発明の一実施形態に従って、処理ガスは、金属カルボニル前駆体を加熱して金属カルボニル前駆体蒸気を形成すること、及びこの金属カルボニル前駆体蒸気にCOガスを混合することによって形成されることができる。本発明の一実施形態によれば、COガスは金属カルボニル前駆体の下流、例えば気相前駆体供給系40又は140内、で金属カルボニル前駆体蒸気と混合されることができる。本発明の他の一実施形態によれば、COガスは、金属カルボニル前駆体の上又は間、例えば金属前駆体気化系50又は150内、にCOガスを流すことによって金属カルボニル前駆体蒸気と混合されることができる。本発明の更に他の一実施形態によれば、処理ガスは、金属カルボニル前駆体の上又は間に不活性搬送ガスを付加的に流すことによって形成されることができる。
750にて、希釈された処理ガスを形成するために、気相供給系の下流にて、より具体的には処理チャンバー及び/又は蒸気分配系内にて、希釈ガスが処理ガスに付加される。図1及び2にて説明されたように、希釈ガスは、処理ガスが蒸気分配板を介して基板上方の処理ゾーンに34まで通り抜ける前に、蒸気分配プレナム内で処理ガスに付加され得る。他の例では、希釈ガスは、処理ガスが蒸気分配板を流れ抜けた後に、基板上方の処理ゾーン内で処理ガスに付加され得る。更に他の例では、希釈ガスは蒸気分配板内で処理ガスに付加され得る。
760にて、基板上方のある1つの領域での希釈ガスの濃度が、基板上方の他の1つの領域での希釈ガスの濃度と異なるように調整され得る手法にて、希釈ガスが処理ガスに導入される。なお、工程760は工程750と同時でもよい。一例では、基板の中央領域への希釈ガスの流れは、基板の周辺領域への希釈ガスの流れと異なるものにされる。他の一例では、基板の周辺領域への希釈ガスの流れのみが存在し、基板の中央領域への希釈ガスの流れは存在しない。基板中央での処理ガスの希釈を基板の周辺領域に対して相対的に調整することにより、薄膜の膜特性を基板全体で調整することを容易にすることができる。
770にて、希釈された処理ガスに基板が晒され、熱化学的気相堆積プロセスにより基板上に金属層が堆積される。本発明の一実施形態に従って、金属層は約50℃と約500℃との間の基板温度にて堆積され得る。他の例では、基板温度は約300℃と約400℃との間とし得る。
当業者に認識されるように、図8のフローチャートの工程群又は段階群の各々は、1つ以上の別個の工程及び/又は処理を含んでいてもよい。従って、710、720、730、740、750、760、770の7工程のみが列挙されていることは、本発明に係る方法をもっぱら7工程又は7段階に限定するものとして理解されるべきではない。また、典型的な工程又は段階710、720、730、740、750、760、770の各々は単一の処理に限定されるものとして理解されるべきではない。
図9A乃至9Cは、本発明の実施形態に従った、パターニングされた基板上への金属層の形成を概略的に示している。当業者に容易に認識されるように、本発明の実施形態は1つ以上のビア若しくはトレンチ、又はこれらの組み合わせを含むパターニングされた基板に適用され得る。図9Aは、本発明の一実施形態に従った、パターニングされた構造800上への金属層840の堆積を概略的に示している。パターニングされた構造800は第1の金属層810、及び開口830を含むパターニングされた層820を含んでいる。パターニングされた層820は例えば誘電体材料とし得る。開口830は例えばビア又はトレンチとすることができ、金属層840は例えばRu金属を含み得る。
図9Bは、本発明の他の一実施形態に従った、パターニングされた構造802上への金属層860の堆積を概略的に示している。パターニングされた構造802は第1の金属層810、及び開口830を含むパターニングされた層820を含んでいる。パターニングされた構造802上には障壁層850が堆積されており、障壁層850上には金属層860が堆積されている。障壁層850は、例えば、タンタル含有材料(例えば、Ta、TaN若しくはTaCN、又はこれらの2つ以上の組み合わせ)又はタングステン材料(例えば、W、WN)を含み得る。パターニングされた層820は例えば誘電体材料とし得る。開口830は例えばビア又はトレンチとすることができ、金属層860は例えばRu金属を含み得る。図9Cは、図9Bの開口830内へのCuの堆積を概略的に示している。
金属層840及び860は、上述のように、例えばルテニウムカルボニル等の金属カルボニル前駆体、及び一酸化炭素(CO)を含む処理ガスを用いて堆積され得る。パターニングされた構造800及び802の周辺端部(図示せず)におけるCO中毒を抑制するため、図1又は2それぞれの防御リング21又は124が金属層840及び860の堆積中に用いられる。また、CO中毒を抑制するため、気相供給系の下流で希釈ガスが処理ガスに混合されてもよい。例えば、この混合は、処理チャンバー内の基板上方の処理空間内、処理チャンバーに結合されている或いはその中にある蒸気分配系のプレナム内、又は処理チャンバーに結合されている或いはその中にある、プレナムからの処理ガスを処理チャンバー内に置かれた基板上方の処理空間に届けるように構成された蒸気分配板内、にて行われることができる。さらに、パターニングされた構造800及び802の周辺端部(図示せず)におけるCO中毒を抑制するため、希釈ガスは処理空間、プレナム又は分配板の周辺領域でのみ、あるいは周辺領域で中央領域に対して高い濃度で、処理ガスと混合されてもよい。
本発明の1つの実施形態は基板上に金属層を堆積する方法である。当該方法は、堆積システムの処理チャンバー内の基板ホルダー上に基板を設置すること、及び基板のCO中毒を抑制するために、基板ホルダー上に基板の周辺を取り囲む防御リングを設けることを含む。そして、基板を加熱するために基板ホルダーの温度が上昇させられる。当該方法はまた、金属カルボニル前駆体蒸気及びCOガスを含有する処理ガスを形成すること、及び処理チャンバー内に処理ガスを導入することを含む。そして、気相堆積プロセスによって基板上に金属層を堆積するため、加熱された基板は処理ガスに晒される。
更なる一実施形態において、当該方法は、希釈された処理ガスを形成するために処理チャンバー内で処理ガスに希釈ガスを付加すること、及び基板上に金属層を堆積するため、希釈された処理ガスに基板を晒すことを含む。希釈された処理ガスの基板上方への分配は、基板の第1領域を第1の濃度を有する希釈された処理ガスに晒し、且つ基板の第2領域を第1の濃度と異なる第2の濃度を有する希釈された処理ガスに晒すように調整されることができる。例えば、第1の濃度は第2の濃度より多量の希釈ガスを含むものとし、第1領域を周辺端部領域とし、第2領域を中央領域とすることができる。
上述の方法において、処理ガスは、金属カルボニル前駆体を気化系内で該前駆体を気化させるように加熱することにより金属カルボニル前駆体蒸気を形成することと、その後、気化系より下流で金属カルボニル前駆体蒸気にCOガスを混合することとによって形成されてもよい。他の例では、処理ガスは、金属カルボニル前駆体を気化系内で該前駆体を気化させるように加熱することにより金属カルボニル前駆体蒸気を形成することと、その加熱中すなわち前駆体が気化されるときに、金属カルボニル前駆体蒸気の上又は間にCOガスを流すこととによって形成されてもよい。何れの実施形態においても、当該方法は更に、前駆体の加熱中に、金属カルボニル前駆体の上又は間に不活性搬送ガスを流すことを含んでもよい。不活性搬送ガスは希ガスを有することができ、不活性搬送ガスの流量は約0.1sccmと約1000sccmとの間とすることができる。同様に、当該方法の実施形態において、COガスの流量は約0.1sccmと約1000sccmとの間、例えば約1sccmと約100sccmとの間、とすることができる。
上述の方法において、金属カルボニル前駆体蒸気は、タングステンカルボニル、モリブデンカルボニル、コバルトカルボニル、ロジウムカルボニル、レニウムカルボニル、クロムカルボニル、ルテニウムカルボニル若しくはオスミウムカルボニル、又はこれらの2つ以上の組み合わせを有することができ、例えば、金属カルボニル前駆体蒸気は、W(CO)、Mo(CO)、Co(CO)、Rh(CO)12、Re(CO)10、Cr(CO)、Ru(CO)12若しくはOs(CO)12、又はこれらの2つ以上の組み合わせを有することができる。
上述の方法において、基板は処理ガスへの曝露中、約50℃と約500℃との間、例えば約300℃と約400℃との間、の温度に維持されることができる。これに代えて、あるいは加えて、処理チャンバーは上記の曝露中、約0.1mTorrと約200mTorrとの間、例えば、約1mTorrと約100mTorrとの間、又は約2mTorrと約50mTorrとの間、の圧力に維持されることができる。
以上では本発明に係る特定の典型的な実施形態についてのみ詳細に説明してきたが、当業者に容易に認識されるように、この典型的な実施形態には本発明の新規の教示及び効果を実質的に逸脱することなく多くの変更が為され得る。従って、このような全ての変更は本発明の範囲に含まれるものである。
本発明の一実施形態に従った堆積システムを示す概略図である。 本発明の他の一実施形態に従った堆積システムを示す概略図である。 本発明の様々な代替実施形態の1つに従ったガス注入系を概略的に示す断面図である。 本発明の様々な代替実施形態の1つに従ったガス注入系を概略的に示す断面図である。 本発明の様々な代替実施形態の1つに従ったガス注入系を概略的に示す断面図である。 本発明の様々な代替実施形態の1つに従ったガス注入系を概略的に示す断面図である。 本発明の様々な代替実施形態の1つに従ったガス注入系を概略的に示す断面図である。 本発明の一実施形態に従って基板上に金属層を堆積する方法を例示する処理フロー図である。 本発明の実施形態に従った、パターニングされた基板上への金属層の形成を概略的に示す断面図である。 本発明の実施形態に従った、パターニングされた基板上への金属層の形成を概略的に示す断面図である。 本発明の実施形態に従った、パターニングされた基板上への金属層の形成を概略的に示す断面図である。 薄膜堆積システム内の従来の基板ホルダーを示す断面図である。 本発明の一実施形態に従った薄膜堆積システム内の基板ホルダーを示す断面図である。
符号の説明
1、100 堆積システム
10、110 処理チャンバー
20、120 基板ホルダー
21、124 防御リング
25、125、800、802 基板
30、130、230、330、430、530、630 蒸気分配系
32、132、232、332、432、532、632 プレナム
33、133、233、333、433、533、633 処理空間
34、131、231、331、431、531、631 蒸気分配板
37、137 希釈ガス源
38、118 ポンプ系
40、140 気相供給系
50、150 金属前駆体(膜前駆体)気化系
52、152 金属カルボニル前駆体
60、160、190 ガス供給系
134、234、334、434、534、634 開口
344、444、544、564、644 開口
232C、342C 間仕切り
250、350、450、550、570、650 希釈ガス
220、320、420、520、620 処理ガス
236、336、436、536、636 筐体
235、335、435、535、635 開口(入口)
341 中間蒸気分配板
342、442、542、562、642 中間プレナム
840、860 金属層

Claims (21)

  1. 基板上に薄膜を形成するための堆積システムであって:
    処理チャンバーであり、該処理チャンバーを排気するように構成されたポンプ系を有する処理チャンバー;
    前記処理チャンバーに結合され、前記基板を支持し且つ加熱するように構成された基板ホルダー;
    前記基板ホルダーに結合され、前記基板を取り囲み且つ前記基板のCO中毒を抑制するように構成された防御リング;
    金属カルボニル前駆体を気化させて金属カルボニル前駆体蒸気を形成するように構成された金属前駆体気化系;
    前記処理チャンバーに結合され、あるいはその中にあり、前記金属カルボニル前駆体蒸気を前記基板の上方の処理空間に導入するように構成された蒸気分配系;
    前記金属前駆体気化系の出口に結合された第一端、及び前記蒸気分配系の入口に結合された第二端を有する気相供給系;及び
    前記金属前駆体気化系若しくは前記気相供給系、又はこれら双方に結合され、COガスを供給して該COガス内の前記金属カルボニル前駆体蒸気を前記蒸気分配系の前記入口に輸送するように構成されたガス供給系;
    を有する堆積システム。
  2. 前記蒸気分配系若しくは前記処理チャンバー、又はこれら双方に結合され、前記金属カルボニル前駆体蒸気及び前記COガスに希釈ガスを供給するように構成された希釈ガス源;
    を更に有する請求項1に記載の堆積システム。
  3. 前記希釈ガスは不活性ガスを含む、請求項2に記載の堆積システム。
  4. 前記蒸気分配系は前記基板の上方への前記希釈ガスの分配を調整するように構成されている、請求項2に記載の堆積システム。
  5. 前記基板ホルダーは前記基板を50℃と500℃との間の基板温度まで加熱するように構成されている、請求項1に記載の堆積システム。
  6. 前記防御リングは前記基板の周辺端部から前記基板ホルダーの周辺端部まで径方向に延在している、請求項1に記載の堆積システム。
  7. 前記防御リングは前記基板ホルダーの側面に沿って少なくとも部分的に延在している、請求項6に記載の堆積システム。
  8. 前記防御リングは、陽極酸化されたアルミニウム、アルミナ、窒化アルミニウム、サファイア、石英、シリコン、窒化シリコン、炭化シリコン、炭素、テフロン(登録商標)、又はカプトン(登録商標)の少なくとも1つを含む、請求項1に記載の堆積システム。
  9. 前記防御リングは保護用の被覆を有する、請求項1に記載の堆積システム。
  10. 前記防御リングと前記基板ホルダーとの間に配置された断熱材を更に有する請求項1に記載の堆積システム。
  11. 前記金属カルボニル前駆体は、W(CO)、Ni(CO)、Mo(CO)、Co(CO)、Rh(CO)12、Re(CO)10、Cr(CO)、Ru(CO)12若しくはOs(CO)12、又はこれらの2つ以上の組み合わせを含む、請求項1に記載の堆積システム。
  12. 前記ガス供給系は更に、前記金属カルボニル前駆体蒸気を輸送する不活性搬送ガスを供給するように構成されている、請求項1に記載の堆積システム。
  13. 前記蒸気分配系は:
    前記入口が形成された筐体;及び
    前記筐体に結合され、前記基板に面するように構成された蒸気分配板であり、前記筐体と該蒸気分配板との結合体が、前記筐体に形成された前記入口を介して前記気相供給系から前記COガス及び前記金属カルボニル前駆体蒸気を受け取るように構成されたプレナムを形成し、当該蒸気分配系は前記プレナムに前記希釈ガスを受け入れるように構成され、且つ、該蒸気分配板は内部に複数の開口を有し、前記COガス及び前記金属カルボニル前駆体蒸気とともに前記希釈ガスを前記基板の上方の前記処理空間に分配するように構成されている、蒸気分配板;
    を有する、請求項2に記載の堆積システム。
  14. 前記プレナム内に配置され、前記プレナムを周辺プレナム領域と中央プレナム領域とに分離するように構成された間仕切りであり、前記蒸気分配系は前記周辺プレナム領域に前記希釈ガスを受け入れるように構成され、それにより、前記希釈ガスは前記COガス及び前記金属カルボニル前駆体蒸気とともに、前記蒸気分散板の前記開口を介して前記処理空間の実質的に周辺の領域に導入される、間仕切り;
    を更に有する請求項13に記載の堆積システム。
  15. 前記蒸気分配系は:
    前記入口が形成された筐体;
    前記筐体に結合され、前記基板に面するように構成された蒸気分配板;及び
    前記入口と前記蒸気分配板との間で前記筐体内に配置された中間蒸気分配板であり、前記筐体と該中間蒸気分配板と前記蒸気分配板との結合体が、前記入口と該中間蒸気分配板との間のプレナムと、該中間蒸気分配板と前記蒸気分配板との間の中間プレナムとを形成する、中間蒸気分配板;
    を有し、
    前記蒸気分配系は、前記筐体に形成された前記入口を介して前記プレナム内に前記COガス及び前記金属カルボニル前駆体蒸気を受け入れるように構成されており、
    前記中間蒸気分配板は内部に第1の開口アレイを有し、且つ前記プレナムからの前記COガス及び前記金属カルボニル前駆体蒸気を前記中間プレナムに分配するように構成されており、
    前記希釈ガス源は、前記COガス及び前記金属カルボニル前駆体蒸気を希釈するために、前記希釈ガスを前記中間プレナムに供給するように構成されており、且つ
    前記蒸気分配板は内部に第2の開口アレイを有し、且つ前記COガス及び前記金属カルボニル前駆体蒸気を前記希釈ガスとともに前記基板の上方の前記処理空間に再分配するように構成されている、
    請求項2に記載の堆積システム。
  16. 前記中間プレナム内に配置され、前記中間プレナムを周辺プレナム領域と中央プレナム領域とに分離するように構成された間仕切りであり、前記蒸気分配系は前記周辺プレナム領域に前記希釈ガスを受け入れるように構成され、それにより、前記COガス及び前記金属カルボニル前駆体蒸気は前記希釈ガスとともに、前記蒸気分散板の前記開口を介して前記処理空間の実質的に周辺の領域に再分配される、間仕切り;
    を更に有する請求項15に記載の堆積システム。
  17. 前記蒸気分配系は:
    前記入口が形成された筐体;及び
    前記筐体に結合され、前記基板に面するように構成された蒸気分配板であり、前記筐体と該蒸気分配板との結合体が、前記筐体に形成された前記入口を介して前記気相供給系から前記COガス及び前記金属カルボニル前駆体蒸気を受け取るように構成されたプレナムを形成し、且つ、該蒸気分配板は内部に複数のスルーホールを有し、前記COガス及び前記金属カルボニル前駆体蒸気を前記基板の上方の前記処理空間に分配するように構成されている、蒸気分配板;
    を有し、
    前記蒸気分配板は、その第1領域に形成された第1の中間プレナムと、前記第1の中間プレナムを前記処理空間の第1の処理領域に流体的に結合させる第1の開口アレイとを有し、且つ
    前記蒸気分配系は、前記第1の中間プレナムに前記希釈ガスを第1の流量で受け入れ、前記第1の処理領域内で前記COガス及び前記金属カルボニル前駆体蒸気を第1の濃度まで希釈するために、前記希釈ガスを前記第1の開口アレイを介して前記第1の処理領域に分配するように構成されている、
    請求項2に記載の堆積システム。
  18. 前記処理空間の前記第1の処理領域は前記基板の上方の前記処理空間の実質的に周辺の領域を含む、請求項17に記載の堆積システム。
  19. 前記蒸気分配板は更に、その第2領域に形成された第2の中間プレナムと、前記第2の中間プレナムを前記処理空間の第2の処理領域に流体的に結合させる第2の開口アレイとを有し、且つ前記蒸気分配系は、前記第2の中間プレナムに前記希釈ガスを第2の流量で受け入れ、前記第2の処理領域内で前記COガス及び前記金属カルボニル前駆体蒸気を第2の濃度まで希釈するために、前記希釈ガスを前記第2の開口アレイを介して前記第2の処理領域に分配するように構成されている、請求項17に記載の堆積システム。
  20. 前記処理空間の前記第1の処理領域は前記基板の上方の前記処理空間の実質的に周辺の領域を含み、且つ前記処理空間の前記第2の処理領域は前記基板の上方の前記処理空間の実質的に中央の領域を含む、請求項19に記載の堆積システム。
  21. 基板上に金属層を堆積する方法であって:
    堆積システムの処理チャンバー内の基板ホルダー上に基板を設置する工程;
    前記基板のCO中毒を抑制するために前記基板ホルダー上に、前記基板の周囲を取り囲む防御リングを設置する工程;
    前記基板を加熱するために、前記基板ホルダーの温度を上昇させる工程;
    前記堆積システムのガス供給系からCOガスを供給する工程;
    金属カルボニル前駆体蒸気及び前記COガスを含有する処理ガスを形成する工程;
    前記処理チャンバー内に前記処理ガスを導入する工程;及び
    気相堆積プロセスにより前記基板上に金属層を堆積するために、前記基板を前記処理ガスに晒す工程;
    を有する方法。
JP2007084912A 2006-03-29 2007-03-28 薄膜堆積システム内における基板の周辺端部での一酸化炭素中毒を抑制する方法及び装置 Expired - Fee Related JP5248797B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/277,922 2006-03-29
US11/277,922 US20070234955A1 (en) 2006-03-29 2006-03-29 Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system

Publications (2)

Publication Number Publication Date
JP2007277719A JP2007277719A (ja) 2007-10-25
JP5248797B2 true JP5248797B2 (ja) 2013-07-31

Family

ID=38573781

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007084912A Expired - Fee Related JP5248797B2 (ja) 2006-03-29 2007-03-28 薄膜堆積システム内における基板の周辺端部での一酸化炭素中毒を抑制する方法及び装置

Country Status (2)

Country Link
US (1) US20070234955A1 (ja)
JP (1) JP5248797B2 (ja)

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7345184B2 (en) * 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
JP5236197B2 (ja) * 2007-03-28 2013-07-17 東京エレクトロン株式会社 成膜方法および成膜装置
JP4731580B2 (ja) 2008-03-27 2011-07-27 東京エレクトロン株式会社 成膜方法および成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20110104479A (ko) 2008-11-28 2011-09-22 제이에스알 가부시끼가이샤 코발트 카르보닐 착체 함유 용기 및 코발트 카르보닐 착체 조성물
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011063850A (ja) * 2009-09-17 2011-03-31 Tokyo Electron Ltd 成膜装置、成膜方法および記憶媒体
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
JP2012175073A (ja) * 2011-02-24 2012-09-10 Tokyo Electron Ltd 成膜方法および記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20170194204A1 (en) * 2014-08-27 2017-07-06 Ultratech, Inc. Improved through silicon via
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102457674B1 (ko) * 2015-04-03 2022-10-20 어플라이드 머티어리얼스, 인코포레이티드 열 cvd 동안 리간드들을 동시-유동시킴으로써 고 종횡비 트렌치들을 충진하는 프로세스
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107779840A (zh) * 2016-08-25 2018-03-09 杭州纤纳光电科技有限公司 一种钙钛矿薄膜的蒸发设备及其使用方法和应用
KR101881538B1 (ko) * 2016-08-29 2018-07-24 주식회사 에이씨엔 균일한 가스 분배를 위한 듀얼 가스 분배 배플을 갖는 플라즈마 처리 장치
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR101869617B1 (ko) * 2016-12-16 2018-07-23 한국기초과학지원연구원 대기압 플라즈마 표면처리장치
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JPH0978239A (ja) * 1994-08-26 1997-03-25 Kyokuto Giken:Kk プラスチック成形型の製造方法
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JP3624628B2 (ja) * 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6319832B1 (en) * 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
JP2001020070A (ja) * 1999-07-08 2001-01-23 Kyokuto Giken:Kk 金属製品及びその製造方法
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
KR100733237B1 (ko) * 1999-10-13 2007-06-27 동경 엘렉트론 주식회사 처리 장치
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
JP2002266074A (ja) * 2001-03-08 2002-09-18 Yuken Industry Co Ltd Cvd法による導電性微粒子の製造方法
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US6989321B2 (en) * 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7078341B2 (en) * 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US7107998B2 (en) * 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
JP4441356B2 (ja) * 2003-10-16 2010-03-31 東京エレクトロン株式会社 成膜装置
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
JP4351545B2 (ja) * 2004-01-28 2009-10-28 大陽日酸株式会社 気相成長装置の基板ホルダ
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US20060115590A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US8435351B2 (en) * 2004-11-29 2013-05-07 Tokyo Electron Limited Method and system for measuring a flow rate in a solid precursor delivery system
KR101378826B1 (ko) * 2005-09-12 2014-03-28 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 사이클릭 알켄 유도체의 분해를 방지하기 위한 첨가제

Also Published As

Publication number Publication date
JP2007277719A (ja) 2007-10-25
US20070234955A1 (en) 2007-10-11

Similar Documents

Publication Publication Date Title
JP5248797B2 (ja) 薄膜堆積システム内における基板の周辺端部での一酸化炭素中毒を抑制する方法及び装置
US7279421B2 (en) Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7794788B2 (en) Method for pre-conditioning a precursor vaporization system for a vapor deposition process
US7270848B2 (en) Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7078341B2 (en) Method of depositing metal layers from metal-carbonyl precursors
US7132128B2 (en) Method and system for depositing material on a substrate using a solid precursor
US7892358B2 (en) System for introducing a precursor gas to a vapor deposition system
US20060115590A1 (en) Method and system for performing in-situ cleaning of a deposition system
US7763311B2 (en) Method for heating a substrate prior to a vapor deposition process
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US8568555B2 (en) Method and apparatus for reducing substrate temperature variability
US20060182886A1 (en) Method and system for improved delivery of a precursor vapor to a processing zone
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system
US7566477B2 (en) Method for saturating a carrier gas with precursor vapor
US7867560B2 (en) Method for performing a vapor deposition process
US20070231489A1 (en) Method for introducing a precursor gas to a vapor deposition system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100318

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110316

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121030

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130411

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees