JP5153131B2 - 半導体素子のデュアルゲート形成方法 - Google Patents

半導体素子のデュアルゲート形成方法 Download PDF

Info

Publication number
JP5153131B2
JP5153131B2 JP2006345665A JP2006345665A JP5153131B2 JP 5153131 B2 JP5153131 B2 JP 5153131B2 JP 2006345665 A JP2006345665 A JP 2006345665A JP 2006345665 A JP2006345665 A JP 2006345665A JP 5153131 B2 JP5153131 B2 JP 5153131B2
Authority
JP
Japan
Prior art keywords
cleaning
forming
wet cleaning
semiconductor device
dual gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006345665A
Other languages
English (en)
Other versions
JP2007173840A (ja
Inventor
ギュ ヒュン キム
ギュン ミン チョイ
バイク イー チョイ
ドン ジョー キム
ジ ヒェ ハン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
SK Hynix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SK Hynix Inc filed Critical SK Hynix Inc
Publication of JP2007173840A publication Critical patent/JP2007173840A/ja
Application granted granted Critical
Publication of JP5153131B2 publication Critical patent/JP5153131B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は、半導体素子の製造方法に関するもので、より詳しくは、p導電型のゲート及びn導電型のゲートを有する半導体素子のデュアルゲート形成方法に関するものである。
一般に、相補型MOS(Complementary Metal Oxide Semiconductor;CMOS)素子は、pチャネル型のPMOSトランジスタ及びnチャネル型のNMOSトランジスタを一つの半導体基板に形成し、それらトランジスタが相補的な動作を行うように構成した半導体素子である。かかる構造は、半導体素子全体の効率を高め、動作速度を改善できるなどの特性を有するので、高速及び高性能を要求するロジック素子及びメモリ素子に適用されている。相補型MOS素子において、PMOSトランジスタ及びNMOSトランジスタの各ゲートは、互いに異なる導電型にドーピングされるが、このような構造をデュアルゲート(dual gate)構造という。
以下、一般的なデュアルゲート形成方法を概略に説明すると、まず、半導体基板上にゲート絶縁膜を形成し、このゲート絶縁膜上に、n型にドーピングされたゲート導電膜、例えばポリシリコン膜を形成する。その後、PMOSトランジスタ領域を露出させる第1フォトレジスト膜パターンを用いたイオン注入工程を行い、PMOSトランジスタ領域のゲート導電膜内にp型不純物イオンを注入する。次に、NMOSトランジスタ領域を露出させる第2フォトレジスト膜パターンを用いたイオン注入工程を行い、NMOSトランジスタ領域のゲート導電膜内にn型不純物イオンを注入する。次に、不純物イオン拡散工程を行い、n導電型のゲート導電膜及びp導電型のゲート導電膜を形成し、n導電型のゲート導電膜及びp導電型のゲート導電膜上の自然酸化膜を除去するための洗浄及び乾燥工程を行う。次に、n導電型のゲート導電膜及びp導電型のゲート導電膜上に金属シリサイド膜及びゲートハードマスク膜を順次形成し、通常のパターニング方法を用いて、NMOSトランジスタ領域及びPMOSトランジスタ領域にn導電型のゲート導電膜パターン及びp導電型のゲート導電膜パターンがそれぞれ配置されるデュアルゲートを形成する。
上記のような従来のデュアルゲート形成方法において、n型不純物イオン注入のためのイオン注入工程後と、p型不純物イオン注入のためのイオン注入工程後には、それぞれ第1フォトレジスト膜パターン及び第2フォトレジスト膜パターンを除去するストリップ工程及び洗浄工程を行う。このとき、ストリップ工程は、酸素プラズマを用いた乾式ストリップ方法で行う。しかしながら、このような酸素プラズマを用いた乾式ストリップ方法では、高濃度のイオン注入によって上部が硬化されたフォトレジスト膜パターンを完全に除去できず、フォトレジスト残留物が発生してしまう。このフォトレジスト残留物は、後続の洗浄工程でもよく除去されず、後続のゲートパターニングを正常に行うのに障害として作用し、ゲートライン短絡やゲートラインブリッジなどの問題を引き起こす。また、場合によっては、ゲート導電膜がエッチングされない現象も発生する。
一方、金属シリサイド膜を形成する前に、自然酸化膜を除去するための洗浄過程が行われる。すなわち、まず、HSO:Hの比が4:1であるSPM(Sulfuric acid Peroxide Mixture)を洗浄液として用いて120℃で略10分間洗浄し、次いで、超純水(Ultra Pure Water;UPW)を用いてリンスする。引き続いて、NHOH:H:HOの比が1:4:20であるSC−1(Standard Clean−1)を洗浄液として用いて25℃で略10分間洗浄し、引き続いて、超純水(UPW)を用いてリンスする。最後に、NHFを含むBOE(Buffered Oxide Echant)を洗浄液として用いて略200秒間洗浄した後、超純水(UPW)を用いたリンス及び乾燥工程を行う。
しかしながら、上記のような洗浄過程では、洗浄後、リンスバスや乾燥器に半導体基板を移送する間、半導体基板が空気中に露出されることで、p導電型及びn導電型のゲート導電膜表面にウォーターマークが発生する。このウォーターマークは、後続のゲートパターニング時、ゲートのリフティング(lifting)現象を誘発する。場合によって、ウォーターマークは、エッチングの障害物として作用し、ゲートパターニング時、ゲート導電膜がエッチングされない未エッチング(unetch)現象も誘発する。
特開2002−368127
本発明は、上記のような問題点を解決するためのもので、その目的は、イオン注入マスク膜として用いられるフォトレジスト膜パターンを残留物なしに除去し、自然酸化膜除去のための洗浄工程で発生するウォーターマークを防止する半導体素子のデュアルゲート形成方法を提供することにある。
上記の目的を達成するために、本発明の一実施例に係る半導体素子のデュアルゲート形成方法は、半導体基板の第1領域及び第2領域上にそれぞれp型及びn型にドーピングされた第1及び第2ポリシリコン膜を形成する段階と、前記第1及び第2ポリシリコン膜の表面上に第1湿式洗浄、第2湿式洗浄及び乾式洗浄を順次行う段階と、を含むことを特徴とする。
本発明において、前記乾式洗浄が行われた第1及び第2ポリシリコン膜上に金属シリサイド膜及びゲートハードマスク膜を順次形成する段階と、前記ゲートハードマスク膜、金属シリサイド膜、第1及び第2ポリシリコン膜に対するパターニングを行い、前記第1領域及び第2領域にそれぞれ配置される第1及び第2ゲートスタックを形成する段階と、をさらに含むことを特徴とする。
前記第1及び第2ポリシリコン膜を形成する段階は、前記半導体基板上にゲート絶縁膜を形成する段階と、前記ゲート絶縁膜上にポリシリコン膜を形成する段階と、前記第1領域のポリシリコン膜を露出させる第1フォトレジスト膜パターンを形成する段階と、前記第1フォトレジスト膜パターンによって露出されたポリシリコン膜にp型不純物イオンを注入する段階と、前記p型不純物イオンの注入後、前記第1フォトレジスト膜パターンを除去する段階と、前記第2領域のポリシリコン膜を露出させる第2フォトレジスト膜パターンを形成する段階と、前記第2フォトレジスト膜パターンによって露出されたポリシリコン膜にn型不純物イオンを注入する段階と、前記n型不純物イオンの注入後、前記第2フォトレジスト膜パターンを除去する段階と、前記p型不純物イオン及びn型不純物イオンを活性化させるアニーリングを行う段階と、を含むことを特徴とする。
ここで、前記第1フォトレジスト膜パターン及び第2フォトレジスト膜パターンを除去する段階は、BOEを洗浄液として用いて第1洗浄を行う段階と、Oを含む脱イオン水を洗浄液として用いて第2洗浄を行う段階と、を含むことを特徴とする。
前記BOE洗浄液は、Oを含むことを特徴とする。
前記第2洗浄は、Oの濃度が1〜10%である脱イオン水を洗浄液として用いて、前記半導体基板の温度を40〜90℃に維持しながら1〜30分間行うことを特徴とする。
前記第1洗浄及び第2洗浄は、枚葉式スピン型クリーナーで連続的に行うことを特徴とする。
前記第1及び第2フォトレジスト膜パターンを除去する段階は、希釈されたHFを洗浄液として用いて第1洗浄を行う段階と、Oを含む脱イオン水を洗浄液として用いて第2洗浄を行う段階と、を含むことを特徴とする。
前記希釈されたHF洗浄液は、Oを含むことを特徴とする。
前記希釈されたHF洗浄液のHF濃度は、0.01〜1wt%であることを特徴とする。
前記第1湿式洗浄は、BOEを洗浄液として用いて10〜500秒間行うことを特徴とする。
前記第1湿式洗浄は、BOE及び希釈されたHF溶液を洗浄液として用いて行うことを特徴とする。
前記第2湿式洗浄は、Oを含む脱イオン水を用いて行うことを特徴とする。
前記第2湿式洗浄は、Oを含む脱イオン水及びOを含む希釈されたHF溶液を用いて行うことを特徴とする。
前記第1湿式洗浄は、前記第1及び第2ポリシリコン膜上に形成された自然酸化膜を除去するために行い、前記第2湿式洗浄は、前記第1湿式洗浄によって除去された自然酸化膜を再び形成するために行い、前記乾式洗浄は、前記第2湿式洗浄によって形成された自然酸化膜を除去するために行うことを特徴とする。
前記第2湿式洗浄によって再び形成される自然酸化膜は、3〜50Åの厚さを有することを特徴とする。
前記第1湿式洗浄及び第2湿式洗浄は、枚葉式スピン型クリーナーで連続的に行うことを特徴とする。
前記乾式洗浄は、無水HFガスを用いて行うことを特徴とする。
前記無水HFガスを用いた乾式洗浄は、前記半導体基板の温度を20℃以下に維持しながら行うことを特徴とする。
前記第2湿式洗浄後、ドライ工程を行う段階をさらに含むことを特徴とする。
上記の目的を達成するために、本発明の他の実施例に係る半導体素子のデュアルゲート形成方法は、半導体基板の第1領域及び第2領域上にp型及びn型にドーピングされた第1及び第2ポリシリコン膜をそれぞれ形成する段階と、前記第1及び第2ポリシリコン膜の表面上に湿式洗浄、ドライ工程及び乾式洗浄を順次行う段階と、を含むことを特徴とする。
前記湿式洗浄は、SPM洗浄液、BOE洗浄液及びSC−1洗浄液を順次用いて行うことを特徴とする。
前記湿式洗浄は、バッチ型洗浄装置で連続的に行うことを特徴とする。
前記乾式洗浄は、枚葉式洗浄装置で行うことを特徴とする。
上記の目的を達成するために、本発明のさらに他の実施例に係る半導体素子のデュアルゲート形成方法は、半導体基板の第1領域及び第2領域上にp型及びn型にドーピングされた第1及び第2ポリシリコン膜をそれぞれ形成する段階と、前記第1及び第2ポリシリコン膜の表面上に第1湿式洗浄、第2湿式洗浄、第3湿式洗浄及び乾式洗浄を順次行う段階と、を含むことを特徴とする。
前記第1湿式洗浄は、Oを含む脱イオン水を用いて行うことを特徴とする。
前記第2湿式洗浄は、BOE洗浄液を用いて行うことを特徴とする。
前記第3湿式洗浄は、Oを含む脱イオン水を用いて行うことを特徴とする。
前記乾式洗浄は、HFガスを用いて行うことを特徴とする。
本発明に係る半導体素子のデュアルゲート形成方法によると、不純物イオン注入マスク膜として用いられるフォトレジスト膜を残留物なしに除去できる。また、ポリシリコン膜上部の自然酸化膜を除去するための洗浄として連続的な湿式洗浄及び乾式洗浄を行うことで、最終のドライ工程が不要になり、ウォーターマークの発生を防止できる。よって、後続のゲートパターニング時、ゲートリフティングやゲート未エッチングなどの問題発生を抑制できるという効果がある。
以下、本発明の好ましい実施例を、添付の図面に基づいて詳細に説明する。本発明の実施例は、多様な形態に変形されうるもので、本発明の範囲は、後述する実施例によって限定されるものと解析されてはならない。
図1乃至図9は、本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。また、図10は、本発明に係る半導体素子のデュアルゲート形成方法において、フォトレジスト膜残留物を除去するための枚葉式スピン型クリーナーを示した図で、図16は、本発明に係る半導体素子のデュアルゲート形成方法において、自然酸化膜除去過程を説明するために示したグラフである。
まず、図1に示すように、第1領域100及び第2領域200を有する半導体基板300上にゲート絶縁膜310を形成する。第1領域100は、PMOSトランジスタ領域であり、第2領域200は、NMOSトランジスタ領域である。半導体基板300は、シリコン基板であるが、場合によっては、絶縁膜上のシリコン(Silicon On Insulator)基板やシリコン以外の基板でもある。ゲート絶縁膜310は、酸化膜によって形成する。次に、ゲート絶縁膜310に対するプラズマ窒化を行い、ゲート絶縁膜310上部に薄い厚さの窒化膜320を形成する。この窒化膜320は、後続工程で、p型不純物イオンであるボロン(B)イオンがゲート絶縁膜310を貫通して半導体基板300に浸透することを抑制するためのもので、場合によって、プラズマ窒化処理が省略されることもある。プラズマ窒化は、Arガス及びNガスを用いて略550℃の温度及び400mTorrの圧力条件で略70秒間行う。
次に、図2に示すように、窒化膜320上にゲート導電膜としてのポリシリコン膜330を略800Åの厚さで形成する。このポリシリコン膜330には、不純物イオンがドーピングされないか、または、リン(P)などのn型不純物イオンがドーピングされる。n型不純物イオンがドーピングされた場合、ドーピングされたn型不純物イオンのドーズは、略2.0×1020ions/cmである。
次に、図3に示すように、ポリシリコン膜330上にマスク膜パターンとしての第1フォトレジスト膜パターン341を形成する。第1フォトレジスト膜パターン341は、第1領域100を露出させる開口部を有する。次に、図3の矢印で示すように、第1フォトレジスト膜パターン341をイオン注入マスク膜としてイオン注入を行い、露出されたポリシリコン膜330にp型不純物イオンを注入する。このイオン注入によって、第1領域100のポリシリコン膜330にp型不純物イオンが注入される。p型不純物イオン注入は、ボロン(B)イオンを略5keVの注入エネルギーで略1.5×1016ions/cmの濃度に注入して行われる。
次に、図4に示すように、p型不純物イオン注入の終了後、第1フォトレジスト膜パターン341を除去するためのストリップ工程を行う。このストリップ工程は、スピン型の枚葉式クリーナーを用いて行う。すなわち、図10の矢印402で示すように、回転するスピナー400上に半導体基板300を載置した後、洗浄溶液を噴射する。スピナー400が高速回転することで、半導体基板300も高速回転するようになり、噴射される洗浄溶液は、半導体基板300の全面にかけて均一に供給される。
前記第1フォトレジスト膜パターン341を除去するためのストリップ工程の一例によると、図11に示すように、まず、図10の枚葉式スピン型クリーナーで略17wt%のNHF及び略0.06wt%のHF溶液を含むBOE溶液を用いた第1洗浄を略30秒間行う(S511)。場合によって、第1洗浄は、希釈されたHF(DHF)溶液を用いて行うこともできる。前記第1洗浄工程が行われると、第1フォトレジスト膜パターン341の表面の一部がリフトオフされ、第1フォトレジスト膜パターン341とポリシリコン膜330との間の界面においてリフティング現象が発生する。引き続いて、Oを含むホット脱イオン(DeIonized;DI)水を用いた第2洗浄を1分〜30分間行う(S512)。この第2洗浄も、図10の枚葉式スピン型クリーナーで行い、第1洗浄に引き続いて連続的に行う。Oを含むホット脱イオン水は40℃〜90℃の温度を有し、ホット脱イオン水内のOの濃度は1%〜10%に維持される。第1洗浄工程を行った後、引き続いて連続的に第2洗浄工程が行われると、下記の化学式1のような反応によって、フォトレジストの残留物なしに第1フォトレジスト膜パターン341をストリップすることができる。
(化1)
−CH− + O→ 3O+ CO+H
上記の化学式1に示すように、Oは、フォトレジスト膜成分である−CHと反応し、3O、CO及びHOを発生させてフォトレジスト膜をストリップする。この過程は、下記の化学式2及び3に細部的に示した。
(化2)
→ O+ O
(化3)
3O+ −CH → CO+H
上記の化学式2に示すように、Oは、酸素ラジカルであるOを発生させ、化学式3に示すように、酸素ラジカルOは、−CH−と反応してCO及びHOを発生させる。
前記第1フォトレジスト膜パターン341を除去するためのストリップ工程の他の例によると、図12に示すように、まず、図10の枚葉式スピン型クリーナーでOを含むBOE溶液を用いた第1洗浄を行う(S521)。場合によって、第1洗浄は、HFの濃度が0.01wt%〜1wt%である希釈されたHF(DHF)溶液を用いて行うこともできる。前記第1洗浄工程が行われた後、第1フォトレジスト膜パターン341の表面一部がリフトオフされ、第1フォトレジスト膜パターン341とポリシリコン膜330との間の界面においてリフティング現象が発生する。次いで、1〜10%濃度のOを含むホット脱イオン水を用いた第2洗浄を行う(S522)。第2洗浄は、ホット脱イオン水の温度を40〜90℃に維持して1〜30分間行う。この第2洗浄も、図10の枚葉式スピン型クリーナーで行い、第1洗浄に引き続いて連続的に行う。第1洗浄工程に引き続いて連続的に第2洗浄工程が行われると、前記化学式1のような反応によって、フォトレジスト残留物なしに第1フォトレジスト膜パターン341をストリップすることができる。
次に、図5に示すように、第1フォトレジスト膜パターン341(図4を参照)が全て除去されたポリシリコン膜330上にマスク膜パターンとしての第2フォトレジスト膜パターン342を形成する。第2フォトレジスト膜パターン342は、第2領域200のポリシリコン膜330を露出させる開口部を有する。次に、図5の矢印で示すように、第2フォトレジスト膜パターン342をイオン注入マスク膜としてイオン注入を行い、露出されたポリシリコン膜330にn型不純物イオンを注入する。このイオン注入によって、第2領域200のポリシリコン膜330にn型不純物イオンが注入される。前記イオン注入は、リン(P)イオンを略5keVの注入エネルギーで略5×1015ions/cmの濃度に注入して行われる。
次に、図6に示すように、n型不純物イオン注入の終了後、第2フォトレジスト膜パターン342を除去するためのストリップ工程を行う。この第2フォトレジスト膜パターン342ストリップ工程は、図11及び図12に基づいて説明した第1フォトレジスト膜パターン341(図4を参照)のストリップ工程と実質的に同一である。
次に、図7に示すように、p型不純物イオン及びn型不純物イオンが注入されたポリシリコン膜330に対するアニーリングを行い、ポリシリコン膜330に注入されたp型不純物イオン及びn型不純物イオンを活性化させる。このアニーリングは、急速熱処理工程(Rapid Thermal Process;RTP)を用いて行うことができる。急速熱処理工程は、略950℃の温度で略20秒間行われる。このアニーリングによって、第1領域100及び第2領域200には、p型不純物イオンがドーピングされた第1ポリシリコン膜110及びn型不純物イオンがドーピングされた第2ポリシリコン膜210がそれぞれ形成される。
次に、第1ポリシリコン膜110及び第2ポリシリコン膜210表面上の自然酸化膜(図示せず)を除去するための洗浄を行う。この洗浄も、図10に示した枚葉式スピン型クリーナーで行う。具体的に、自然酸化膜除去のための洗浄工程の一例によると、図13に示すように、図10の枚葉式スピン型クリーナーで、略17wt%のNHF及び略0.06wt%のHF溶液を含むBOEを洗浄液として用いる湿式洗浄方法で、10秒〜500秒間第1洗浄を行う(S611)。場合によっては、BOE洗浄液の他に、0.1wt%〜5wt%のHF濃度を有する希釈されたHF溶液も一緒に用いられる。次に、図10の枚葉式スピン型クリーナーで、第1洗浄に引き続いて連続的にホット脱イオン水及びOを含むホット脱イオン水を用いた洗浄を略3分間行い、第1ポリシリコン膜110及び第2ポリシリコン膜210上に再び自然酸化膜(図示せず)を所定厚さ、例えば3Å〜50Åの厚さで形成する(S612)。場合によっては、Oを含むホット脱イオン水の他に、0.1wt%〜5wt%のHF濃度を有する希釈されたHF溶液も一緒に用いられる。その後、ドライ工程を行う(S613)。引き続いて、チェンバー型クリーナーで、無水HFガスを用いた乾式洗浄で自然酸化膜を除去する(S614)。この乾式洗浄が行われる間、チェンバー型クリーナーの温度を調節し、ウェハーの温度を略20℃以下に維持する。最終的に乾式洗浄を行うことで、以後のドライ工程が不要になり、その結果、ウォーターマークの発生も防止される。
自然酸化膜除去のための洗浄工程の他の例によると、図14に示すように、SPM洗浄液、BOE洗浄液及びSC−1洗浄液を用いた洗浄を行う(S621)。SPM洗浄液において、HSOとHとの比率を略4:1にし、温度を略120℃に維持する。SPM洗浄は略5分間行う。BOE洗浄液において、NHFとHFとの比率を略17:0.06にする。BOE洗浄は略200秒間行う。SC−1洗浄液において、NHOH、H及びHOの比率を略1:4:20にし、温度を略25℃に維持する。SC−1洗浄は略10分間行う。前記段階621の洗浄工程は、バッチ型洗浄装置で行われる。次に、ドライ工程を行う(S622)。引き続いて、枚葉式クリーナーで、無水HFガスを用いた乾式洗浄で自然酸化膜を除去する(S623)。
自然酸化膜除去のための洗浄工程のさらに他の例によると、図15に示すように、まず、Oを含む脱イオン水を用いた洗浄を行う(S631)。この洗浄は略5分間行う。次に、BOE溶液を用いた洗浄を行う(S632)。BOE洗浄液において、NHFとHFとの比率を略17:0.06にし、洗浄は略200秒間行う。次に、再びOを含む脱イオン水を用いた洗浄を略5分間行う(S633)。その後、HFガスを用いた乾式洗浄を行う(S634)。
上記のような洗浄段階別に、第1ポリシリコン膜110及び第2ポリシリコン膜210上の自然酸化膜をXPS(X−ray Photoelectron Spectroscopy)で分析した結果を図16に示した。図16の参照符号”710”で示すように、洗浄が行われる前に、第1ポリシリコン膜110及び第2ポリシリコン膜210上に自然酸化膜(SiO)が存在する。また、参照符号”720”で示すように、BOEまたはBOE及び希釈されたHF溶液を用いた湿式洗浄後には、自然酸化膜が除去される。参照符号”730”で示すように、Oを含むホット脱イオン水を用いた洗浄によって、再び自然酸化膜が形成される。最後に、参照符号”740”で示すように、無水HFガスを用いた乾式洗浄で自然酸化膜が全て除去される。
次に、図8に示すように、自然酸化膜が除去された第1ポリシリコン膜110及び第2ポリシリコン膜210上に金属シリサイド膜としてのタングステンシリサイド膜350と、ゲートハードマスク膜としてのハードマスク窒化膜360とを順次形成する。タングステンシリサイド膜350は、WFガス及びSiHガスを反応ガスとして用いて350〜450℃の温度で形成するか、または、WFガス及びSiHClガスを反応ガスとして用いて500〜600℃の温度で形成する。
次に、図9に示すように、通常の方法を用いてハードマスク窒化膜、タングステンシリサイド膜、第1及び第2ポリシリコン膜110,210、窒化膜320及びゲート絶縁膜310に対するパターニングを行う。そうすると、第1領域100の半導体基板300上には、第1ゲート絶縁膜パターン311、第1窒化膜パターン321、第1ポリシリコン膜パターン111、第1タングステンシリサイド膜パターン351及び第1ハードマスク窒化膜パターン361が順次積層された第1ゲートスタック100Gが形成される。そして、第2領域200の半導体基板300上には、第2ゲート絶縁膜パターン312、第2窒化膜パターン322、第2ポリシリコン膜パターン211、第2タングステンシリサイド膜パターン352及び第2ハードマスク窒化膜パターン362が順次積層された第2ゲートスタック200Gが形成される。
以上、本発明を好ましい実施例に基づいて説明してきたが、本発明は、上記の実施例によって限定されるものではなく、本発明の技術的思想内で、当分野で通常の知識を有する者によって多様に変形可能である。
本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法を説明するために示した断面図である。 本発明に係る半導体素子のデュアルゲート形成方法において、フォトレジスト膜残留物を除去するための枚葉式スピン型クリーナーを示した図である。 本発明に係る半導体素子のデュアルゲート形成方法において、フォトレジスト膜ストリップ工程の一例を説明するために示したフローチャートである。 本発明に係る半導体素子のデュアルゲート形成方法において、フォトレジスト膜ストリップ工程の他の例を説明するために示したフローチャートである。 本発明に係る半導体素子のデュアルゲート形成方法において、自然酸化膜除去工程の一例を説明するために示したフローチャートである。 本発明に係る半導体素子のデュアルゲート形成方法において、自然酸化膜除去工程の他の例を説明するために示したフローチャートである。 本発明に係る半導体素子のデュアルゲート形成方法において、自然酸化膜除去工程のさらに他の例を説明するために示したフローチャートである。 本発明に係る半導体素子のデュアルゲート形成方法において、自然酸化膜除去過程を説明するために示したグラフである。
符号の説明
100 第1領域、200 第2領域、300 半導体基板、310 ゲート絶縁膜、320 窒化膜、330 ポリシリコン膜、341 第1フォトレジスト膜パターン。

Claims (31)

  1. 半導体基板の第1領域及び第2領域上にそれぞれp型及びn型にドーピングされた第1及び第2ポリシリコン膜を形成する段階と、
    前記第1及び第2ポリシリコン膜の表面上に第1湿式洗浄、第2湿式洗浄及び乾式洗浄を順次行う段階と、を含み、
    前記第1湿式洗浄は、前記第1及び第2ポリシリコン膜上に形成された自然酸化膜を除去するために行い、前記第2湿式洗浄は、前記第1湿式洗浄によって除去された自然酸化膜を再び形成するために行い、前記乾式洗浄は、前記第2湿式洗浄によって形成された自然酸化膜を除去するために行うことを特徴とする半導体素子のデュアルゲート形成方法。
  2. 前記乾式洗浄が行われた第1及び第2ポリシリコン膜上に金属シリサイド膜及びゲート
    ハードマスク膜を順次形成する段階と、
    前記ゲートハードマスク膜、金属シリサイド膜、第1及び第2ポリシリコン膜に対するパターニングを行い、前記第1領域及び第2領域にそれぞれ配置される第1及び第2ゲートスタックを形成する段階と、をさらに含むことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  3. 前記第1及び第2ポリシリコン膜を形成する段階は、
    前記半導体基板上にゲート絶縁膜を形成する段階と、
    前記ゲート絶縁膜上にポリシリコン膜を形成する段階と、
    前記第1領域のポリシリコン膜を露出させる第1フォトレジスト膜パターンを形成する段階と、
    前記第1フォトレジスト膜パターンによって露出されたポリシリコン膜にp型不純物イオンを注入する段階と、
    前記p型不純物イオンの注入後、前記第1フォトレジスト膜パターンを除去する段階と、
    前記第2領域のポリシリコン膜を露出させる第2フォトレジスト膜パターンを形成する段階と、
    前記第2フォトレジスト膜パターンによって露出されたポリシリコン膜にn型不純物イオンを注入する段階と、
    前記n型不純物イオンの注入後、前記第2フォトレジスト膜パターンを除去する段階と、
    前記p型不純物イオン及びn型不純物イオンを活性化させるアニーリングを行う段階と、を含むことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  4. 前記第1フォトレジスト膜パターン及び第2フォトレジスト膜パターンを除去する段階は、
    BOEを洗浄液として用いて第1洗浄を行う段階と、
    を含む脱イオン水を洗浄液として用いて第2洗浄を行う段階と、を含むことを特徴とする請求項3に記載の半導体素子のデュアルゲート形成方法。
  5. 前記BOE洗浄液は、Oを含むことを特徴とする請求項4に記載の半導体素子のデュアルゲート形成方法。
  6. 前記第2洗浄は、Oの濃度が1〜10%である脱イオン水を洗浄液として用いて、前記半導体基板の温度を40〜90℃に維持しながら1〜30分間行うことを特徴とする請求項4に記載の半導体素子のデュアルゲート形成方法。
  7. 前記第1洗浄及び第2洗浄は、枚葉式スピン型クリーナーで連続的に行うことを特徴とする請求項4に記載の半導体素子のデュアルゲート形成方法。
  8. 前記第1及び第2フォトレジスト膜パターンを除去する段階は、
    希釈されたHFを洗浄液として用いて第1洗浄を行う段階と、
    を含む脱イオン水を洗浄液として用いて第2洗浄を行う段階と、を含むことを特徴とする請求項3に記載の半導体素子のデュアルゲート形成方法。
  9. 前記希釈されたHF洗浄液は、Oを含むことを特徴とする請求項8に記載の半導体素子のデュアルゲート形成方法。
  10. 前記希釈されたHF洗浄液のHF濃度は、0.01〜1wt%であることを特徴とする請求項9に記載の半導体素子のデュアルゲート形成方法。
  11. 前記第2洗浄は、Oの濃度が1〜10%である脱イオン水を洗浄液として用いて、前記半導体基板の温度を40〜90℃に維持しながら1〜30分間行うことを特徴とする請求項8に記載の半導体素子のデュアルゲート形成方法。
  12. 前記第1洗浄及び第2洗浄は、枚葉式スピン型クリーナーで連続的に行うことを特徴とする請求項8に記載の半導体素子のデュアルゲート形成方法。
  13. 前記第1湿式洗浄は、BOEを洗浄液として用いて10〜500秒間行うことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  14. 前記第1湿式洗浄は、BOE及び希釈されたHF溶液を洗浄液として用いて行うことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  15. 前記第2湿式洗浄は、Oを含む脱イオン水を用いて行うことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  16. 前記第2湿式洗浄は、Oを含む脱イオン水及びOを含む希釈されたHF溶液を用いて行うことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  17. 前記第2湿式洗浄によって再び形成される自然酸化膜は、3〜50Åの厚さを有することを特徴とする請求項16に記載の半導体素子のデュアルゲート形成方法。
  18. 前記第1湿式洗浄及び第2湿式洗浄は、枚葉式スピン型クリーナーで連続的に行うことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  19. 前記乾式洗浄は、無水HFガスを用いて行うことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  20. 前記無水HFガスを用いた乾式洗浄は、前記半導体基板の温度を20℃以下に維持しながら行うことを特徴とする請求項19に記載の半導体素子のデュアルゲート形成方法。
  21. 前記第2湿式洗浄後、ドライ工程を行う段階をさらに含むことを特徴とする請求項1に記載の半導体素子のデュアルゲート形成方法。
  22. 半導体基板の第1領域及び第2領域上にp型及びn型にドーピングされた第1及び第2ポリシリコン膜をそれぞれ形成する段階と、
    前記第1及び第2ポリシリコン膜の表面上に湿式洗浄、ドライ工程及び乾式洗浄を順次行う段階と、を含むことを特徴とする半導体素子のデュアルゲート形成方法。
  23. 前記湿式洗浄は、SPM洗浄液、BOE洗浄液及びSC−1洗浄液を順次用いて行うことを特徴とする請求項22に記載の半導体素子のデュアルゲート形成方法。
  24. 前記湿式洗浄は、バッチ型洗浄装置で連続的に行うことを特徴とする請求項22に記載の半導体素子のデュアルゲート形成方法。
  25. 前記乾式洗浄は、無水HFガスを用いて行うことを特徴とする請求項22に記載の半導体素子のデュアルゲート形成方法。
  26. 前記乾式洗浄は、枚葉式洗浄装置で行うことを特徴とする請求項22に記載の半導体素子のデュアルゲート形成方法。
  27. 半導体基板の第1領域及び第2領域上にp型及びn型にドーピングされた第1及び第2ポリシリコン膜をそれぞれ形成する段階と、
    前記第1及び第2ポリシリコン膜の表面上に第1湿式洗浄、第2湿式洗浄、第3湿式洗浄及び乾式洗浄を順次行う段階と、を含み、
    前記第1湿式洗浄は、前記第1及び第2ポリシリコン膜上に自然酸化膜を形成するために行い、前記第2湿式洗浄は、前記第1の湿式洗浄によって形成された自然酸化膜を除去するために行い、前記第3湿式洗浄は、前記第2湿式洗浄によって除去された自然酸化膜を再び形成するために行い、前記乾式洗浄は、前記第3湿式洗浄によって形成された自然酸化膜を除去するために行うことを特徴とする半導体素子のデュアルゲート形成方法。
  28. 前記第1湿式洗浄は、Oを含む脱イオン水を用いて行うことを特徴とする請求項27に記載の半導体素子のデュアルゲート形成方法。
  29. 前記第2湿式洗浄は、BOE洗浄液を用いて行うことを特徴とする請求項27に記載の半導体素子のデュアルゲート形成方法。
  30. 前記第3湿式洗浄は、Oを含む脱イオン水を用いて行うことを特徴とする請求項27に記載の半導体素子のデュアルゲート形成方法。
  31. 前記乾式洗浄は、HFガスを用いて行うことを特徴とする請求項27に記載の半導体素子のデュアルゲート形成方法。
JP2006345665A 2005-12-22 2006-12-22 半導体素子のデュアルゲート形成方法 Expired - Fee Related JP5153131B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2005-0128307 2005-12-22
KR20050128307 2005-12-22
KR10-2006-0088631 2006-09-13
KR1020060088631A KR100811267B1 (ko) 2005-12-22 2006-09-13 반도체소자의 듀얼게이트 형성방법

Publications (2)

Publication Number Publication Date
JP2007173840A JP2007173840A (ja) 2007-07-05
JP5153131B2 true JP5153131B2 (ja) 2013-02-27

Family

ID=38365860

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006345665A Expired - Fee Related JP5153131B2 (ja) 2005-12-22 2006-12-22 半導体素子のデュアルゲート形成方法

Country Status (4)

Country Link
US (3) US20070148848A1 (ja)
JP (1) JP5153131B2 (ja)
KR (1) KR100811267B1 (ja)
CN (1) CN100505217C (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100965220B1 (ko) * 2007-12-28 2010-06-22 주식회사 동부하이텍 반도체 소자의 제조방법
KR101030299B1 (ko) * 2008-08-08 2011-04-20 주식회사 동부하이텍 반도체 소자 및 그의 제조 방법
JP2010153809A (ja) * 2008-11-26 2010-07-08 Sumco Corp シリコンウェーハの表面に形成された所定の膜厚を有する層の膜厚分布を均一化する処理方法及びシリコンウェーハの厚み分布を均一化する処理方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN102760832B (zh) 2011-04-29 2015-06-03 中芯国际集成电路制造(上海)有限公司 相变半导体器件的制造方法以及相变半导体器件
CN102891112B (zh) * 2012-10-25 2016-09-28 上海华虹宏力半导体制造有限公司 改善双栅cmos多晶硅耗尽的方法以及双栅cmos
CN104752196A (zh) * 2013-12-31 2015-07-01 中芯国际集成电路制造(上海)有限公司 光刻胶去除的后处理方法及半导体器件的制作方法
CN107507761A (zh) * 2017-08-31 2017-12-22 长江存储科技有限责任公司 一种多晶硅沉积方法以及多晶硅沉积设备

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6064436A (ja) * 1983-09-19 1985-04-13 Fujitsu Ltd スピンドライヤ
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4921572A (en) * 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
JP2581268B2 (ja) * 1990-05-22 1997-02-12 日本電気株式会社 半導体基板の処理方法
US6124211A (en) * 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
JP2760418B2 (ja) * 1994-07-29 1998-05-28 住友シチックス株式会社 半導体ウエーハの洗浄液及びこれを用いた半導体ウエーハの洗浄方法
JP2630292B2 (ja) * 1995-02-27 1997-07-16 日本電気株式会社 半導体装置の製造方法
JP3393249B2 (ja) * 1995-12-27 2003-04-07 ソニー株式会社 デュアルゲート構造を有する半導体装置およびその製造方法
KR0170902B1 (ko) * 1995-12-29 1999-03-30 김주용 반도체 소자의 제조방법
JPH10199847A (ja) * 1997-01-08 1998-07-31 Sony Corp ウエハの洗浄方法
US5753547A (en) * 1997-01-28 1998-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of a cylindrical polysilicon module in dram technology
US6065481A (en) * 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
JP3222404B2 (ja) * 1997-06-20 2001-10-29 科学技術振興事業団 半導体基板表面の絶縁膜の形成方法及びその形成装置
JP3209164B2 (ja) * 1997-10-07 2001-09-17 日本電気株式会社 半導体装置の製造方法
US6005269A (en) * 1998-02-19 1999-12-21 Texas Instruments - Acer Incorporated DRAM cell with a double-crown shaped capacitor
US6342438B2 (en) * 1998-11-06 2002-01-29 Advanced Micro Devices, Inc. Method of manufacturing a dual doped CMOS gate
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
US20020137362A1 (en) * 1999-07-29 2002-09-26 Rajarao Jammy Method for forming crystalline silicon nitride
DE10038219A1 (de) * 1999-08-05 2001-05-03 Tokyo Electron Ltd Reinigungseinrichtung, Reinigungssystem, Behandlungseinrichtung und Behandlungsverfahren
US20040034134A1 (en) * 1999-08-26 2004-02-19 Lamb James E. Crosslinkable fill compositions for uniformly protecting via and contact holes
JP3434750B2 (ja) * 1999-09-30 2003-08-11 Necエレクトロニクス株式会社 洗浄装置のライン構成及びその設計方法
US6634368B1 (en) * 1999-11-12 2003-10-21 Texas Instruments Incorporated Application of ozonated DI water to scrubbers for resist strip and particle removal processes
JP2001332630A (ja) * 2000-05-19 2001-11-30 Sharp Corp 半導体装置の製造方法
US6503333B2 (en) * 2000-11-30 2003-01-07 Taiwan Semiconductor Manufacturing Company, Ltd Method for cleaning semiconductor wafers with ozone-containing solvent
US20020111021A1 (en) * 2001-02-13 2002-08-15 Advanced Micro Devices, Inc. Ozone oxide as a mediating layer in nickel silicide formation
US20020168880A1 (en) * 2001-05-08 2002-11-14 Mitsubishi Materials Silicon Corporation Method for cleaning polysilicon
US6579810B2 (en) 2001-06-21 2003-06-17 Macronix International Co. Ltd. Method of removing a photoresist layer on a semiconductor wafer
US6720271B2 (en) * 2001-07-02 2004-04-13 Stmicroelectronics S.R.L. Process for removing polymers during the fabrication of semiconductor devices
JP4000256B2 (ja) * 2001-12-11 2007-10-31 富士通株式会社 半導体装置及びその製造方法
US20040070050A1 (en) * 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Company Structures of vertical resistors and FETs as controlled by electrical field penetration and a band-gap voltage reference using vertical FETs operating in accumulation through the field penetration effect
JP2003234318A (ja) 2002-02-12 2003-08-22 Asahi Kasei Microsystems Kk 半導体装置の製造方法
US7195986B1 (en) * 2002-03-08 2007-03-27 Caliper Life Sciences, Inc. Microfluidic device with controlled substrate conductivity
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
JP2004006819A (ja) 2002-04-26 2004-01-08 Nec Electronics Corp 半導体装置の製造方法
KR100475272B1 (ko) * 2002-06-29 2005-03-10 주식회사 하이닉스반도체 반도체소자 제조방법
JP2004039866A (ja) * 2002-07-03 2004-02-05 Toshiba Corp 半導体装置及びその製造方法
US6740571B2 (en) * 2002-07-25 2004-05-25 Mosel Vitelic, Inc. Method of etching a dielectric material in the presence of polysilicon
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
KR100482372B1 (ko) * 2002-12-03 2005-04-14 삼성전자주식회사 반도체 소자의 게이트 산화막 형성방법
US6627515B1 (en) * 2002-12-13 2003-09-30 Taiwan Semiconductor Manufacturing Company Method of fabricating a non-floating body device with enhanced performance
JP4055581B2 (ja) * 2003-01-06 2008-03-05 松下電器産業株式会社 Hsg膜の形成方法
US20040238896A1 (en) * 2003-06-02 2004-12-02 Marie Mochizuki Semiconductor device
KR100672933B1 (ko) * 2003-06-04 2007-01-23 삼성전자주식회사 세정 용액 및 이를 이용한 반도체 소자의 세정 방법
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
TWI233168B (en) * 2003-09-01 2005-05-21 Macronix Int Co Ltd Method of cleaning surface of wafer by hydroxyl radical of deionized water
KR20050048114A (ko) * 2003-11-19 2005-05-24 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조 방법
FR2864457B1 (fr) * 2003-12-31 2006-12-08 Commissariat Energie Atomique Procede de nettoyage par voie humide d'une surface notamment en un materiau de type silicium germanium.
US20050151180A1 (en) * 2004-01-09 2005-07-14 Taiwan Semiconductor Manufacturing Co. Method to reduce a capacitor depletion phenomena
US20060065528A1 (en) * 2004-02-03 2006-03-30 Gabriel Lopez Nanostructured devices for separation and analysis
US7115436B2 (en) * 2004-02-12 2006-10-03 Robert Bosch Gmbh Integrated getter area for wafer level encapsulated microelectromechanical systems
KR100639205B1 (ko) * 2004-04-19 2006-10-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
US6982208B2 (en) * 2004-05-03 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing high throughput strained-Si channel MOSFETS
KR100520846B1 (ko) * 2004-05-11 2005-10-12 삼성전자주식회사 플로팅 게이트 형성 방법 및 이를 이용한 불휘발성 메모리장치의 제조방법
WO2006007453A1 (en) * 2004-07-01 2006-01-19 Fsi International, Inc. Cleaning process for semiconductor substrates
US7157327B2 (en) * 2004-07-01 2007-01-02 Infineon Technologies Ag Void free, silicon filled trenches in semiconductors
WO2006010109A2 (en) * 2004-07-08 2006-01-26 Akrion Technologies, Inc. Method and apparatus for creating ozonated process solutions having high ozone concentration
KR100618843B1 (ko) * 2004-07-12 2006-09-01 삼성전자주식회사 비휘발성 반도체 메모리 소자 및 그 제조방법
US7448395B2 (en) * 2004-07-19 2008-11-11 Texas Instruments Incorporated Process method to facilitate silicidation
JP2006066520A (ja) * 2004-08-25 2006-03-09 Fujitsu Ltd 半導体装置およびその製造方法
KR100641506B1 (ko) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 세정 방법
WO2006034030A1 (en) * 2004-09-17 2006-03-30 Fsi International, Inc. Using ozone to process wafer like objects
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
JP2006167849A (ja) * 2004-12-15 2006-06-29 Denso Corp マイクロ構造体の製造方法
KR100882930B1 (ko) * 2004-12-17 2009-02-10 삼성전자주식회사 소오스 및 드레인 영역들을 갖는 씨모스 반도체 소자들 및 그 제조방법들
US20060137726A1 (en) * 2004-12-24 2006-06-29 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
KR100678468B1 (ko) * 2005-01-14 2007-02-02 삼성전자주식회사 반도체 기판의 인-시츄 세정방법 및 이를 채택하는 반도체소자의 제조방법
US7645687B2 (en) * 2005-01-20 2010-01-12 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate variable work function gates for FUSI devices
US7521804B2 (en) * 2005-02-03 2009-04-21 Samsung Electronics Co., Ltd. Semiconductor device preventing electrical short and method of manufacturing the same
US8070884B2 (en) * 2005-04-01 2011-12-06 Fsi International, Inc. Methods for rinsing microelectronic substrates utilizing cool rinse fluid within a gas enviroment including a drying enhancement substance
US20060226442A1 (en) * 2005-04-07 2006-10-12 An-Ping Zhang GaN-based high electron mobility transistor and method for making the same
US7176452B2 (en) * 2005-04-15 2007-02-13 The Board Of Trustees Of The Leland Stanford Junior University Microfabricated beam modulation device
US7132322B1 (en) * 2005-05-11 2006-11-07 International Business Machines Corporation Method for forming a SiGe or SiGeC gate selectively in a complementary MIS/MOS FET device
KR100666380B1 (ko) * 2005-05-30 2007-01-09 삼성전자주식회사 포토레지스트 제거방법 및 이를 이용한 반도체 소자의 제조방법.
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7531434B2 (en) * 2005-10-20 2009-05-12 United Microelectronics Corp. Method of fabricating semiconductor devices
KR100721207B1 (ko) * 2006-05-18 2007-05-23 주식회사 하이닉스반도체 이온주입된 포토레지스트 제거방법

Also Published As

Publication number Publication date
KR20070066844A (ko) 2007-06-27
CN101005046A (zh) 2007-07-25
US20110212611A1 (en) 2011-09-01
CN100505217C (zh) 2009-06-24
US20070148848A1 (en) 2007-06-28
JP2007173840A (ja) 2007-07-05
US20110212610A1 (en) 2011-09-01
KR100811267B1 (ko) 2008-03-07

Similar Documents

Publication Publication Date Title
JP5153131B2 (ja) 半導体素子のデュアルゲート形成方法
JP4149095B2 (ja) 半導体集積回路装置の製造方法
US20100178764A1 (en) Method for fabricating semiconductor device
JP2004152862A (ja) 半導体装置の製造方法
JP2000331978A (ja) 電子デバイスの洗浄方法及びその製造方法
JP2005236083A (ja) 半導体装置の製造方法
US7294577B2 (en) Method of manufacturing a silicide layer
CN113113291A (zh) 基片清洁方法
JP4108445B2 (ja) 半導体装置の製造方法
KR100869844B1 (ko) 반도체소자의 듀얼게이트 형성방법
JP3919435B2 (ja) 半導体装置の製造方法
KR100780772B1 (ko) 반도체소자의 듀얼 게이트 형성방법
KR100721200B1 (ko) 반도체소자의 듀얼 게이트 형성방법
JP4951585B2 (ja) 半導体集積回路装置の製造方法
KR20080062010A (ko) 반도체 소자의 제조방법
KR100861362B1 (ko) 반도체소자의 듀얼 게이트 형성방법
KR100400305B1 (ko) Cmos의 제조 방법
JP2003068874A (ja) 半導体集積回路装置の製造方法
JP3919800B2 (ja) 半導体装置の製造方法
JP5493385B2 (ja) 半導体装置の製造方法
JPWO2004073071A1 (ja) 半導体集積回路装置およびその製造方法
JPH1050636A (ja) 半導体装置の製造方法
JP2008124523A (ja) 半導体装置
JP2011040524A (ja) 半導体装置の製造方法及び半導体装置
JP2002134462A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091130

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20120224

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120928

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121113

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121204

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151214

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees