JP5039901B2 - 歪みシリコンオンインシュレータ構造を製造する方法およびそれによって形成された歪みシリコンオンインシュレータ構造 - Google Patents

歪みシリコンオンインシュレータ構造を製造する方法およびそれによって形成された歪みシリコンオンインシュレータ構造 Download PDF

Info

Publication number
JP5039901B2
JP5039901B2 JP2007505543A JP2007505543A JP5039901B2 JP 5039901 B2 JP5039901 B2 JP 5039901B2 JP 2007505543 A JP2007505543 A JP 2007505543A JP 2007505543 A JP2007505543 A JP 2007505543A JP 5039901 B2 JP5039901 B2 JP 5039901B2
Authority
JP
Japan
Prior art keywords
region
active layer
layer
insulating layer
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007505543A
Other languages
English (en)
Other versions
JP2007531294A (ja
Inventor
フルカワ、トシハル
コバーガー、サード、チャールズ、ウィリアムズ
スリンクマン、ジェイムズ、アルバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007531294A publication Critical patent/JP2007531294A/ja
Application granted granted Critical
Publication of JP5039901B2 publication Critical patent/JP5039901B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Description

本発明は概して半導体構造およびデバイスに、およびその製造方法に関し、特に担体移動度の向上を特徴とする製造方法およびシリコンオンインシュレータ(SOI)構造、デバイスおよび集積回路に関する。
シリコンオンインシュレータ(SOI)構造は、二酸化シリコン絶縁層(つまり埋め込み酸化物、又は「BOX」)に重なる薄い活性シリコン層で構成され、絶縁層自体は支持シリコン基板に重なる。金属酸化物半導体の電界効果トランジスタ技術および相補型金属酸化膜半導体(CMOS)集積回路にとってのSOI構造の利点については、よく記録されている。SOI構造の絶縁層によって、電界効果トランジスタ(FET)は、従来の嵩張るシリコン技術と比較して、電気絶縁が改善され、電気損が減少した状態で、非常に速度を上げて動作することができる。その結果、性能が向上し、電力消費量が減少する。
従来のMOSFETおよびCMOS技術では、SOI構造上で製造された電界効果トランジスタは、活性シリコン層に形成されたチャネルを含む。担体移動度が重要なパラメータであるのは、それが電界効果トランジスタの出力電流およびスイッチング性能に直接影響するからである。したがって、デバイス性能を向上させる1つの方法は、二軸または単軸で活性シリコン層に歪みを与えることにより、チャネル移動度を向上させることである。正味歪みは、シリコン活性層に圧縮性応力を導入するか、シリコン活性層に引っ張り応力を導入することによって提供することができる。シリコン層の面で結晶格子が局所的または大域的に歪むと、シリコン層の電子帯構造が変化する。その結果、面内担体移動度を10から25パーセント増加させることができ、その結果、デバイス性能が改善される。
二軸引っ張り歪みは、シリコンのそれより大きい格子定数を有する材料で形成した介入層(intervening layer)を導入することにより、基板全体にわたってシリコン層に均一に導入することもできる。例えば、二軸方向で歪んだ活性シリコン層は、埋め込んだ酸化物層とシリコン活性層の間に傾斜した(graded)シリコンゲルマニウムバッファ層と弛緩した(relaxed)シリコンゲルマニウム層との薄い複合層を導入することによって、SOI構造内に生成することができ、これを弛緩したシリコンゲルマニウム層上にエピタキシャル付着させる。引っ張り歪みは、基板の面でシリコンの原子間距離を増加させ、これは電子移動度を増加させる。層移動(layer transfer)のアプローチで、シリコンゲルマニウム層を除去することができる。均一な引っ張り応力の存在は、nチャネル電界効果トランジスタ(NFET)のデバイスチャネルにおける電子移動度、およびpチャネル電界効果トランジスタにおける開口移動度(hole mobility)を、PFETデバイスチャネルの担体流れの方向に対して直角に導入された引っ張り応力に対して向上させる。
単軸圧縮性歪みは、プロセスの最適化によってシリコン層に局所的に導入することができる。少量の応力は、キャッピング層、スペーサ、および浅いトレンチ分離などの既存のデバイス構造の特性を操作することによって導入することができる。より大きな応力は、例えばPFETのソースおよびドレイン領域のみに傾斜したシリコンゲルマニウム層を付着させることによって導入することができる。シリコンゲルマニウム層の局所的導入は、PFETチャネルに圧縮性歪みを追加するという効果を有し、これは開口移動度を局所的に増加させる。
歪んだシリコンを形成するためにシリコンゲルマニウム層を使用することは、ある種の欠点を有する。シリコンゲルマニウム層は、デバイスの歩留まりに影響を及ぼす欠陥をシリコンに導入する傾向がある。ウェハ全域に付着する広範囲のシリコンゲルマニウム層は、NFETおよびPFETを別個に最適化するには適切でない。シリコンゲルマニウム層は、熱伝導性も低く、一部のドーパントがシリコンゲルマニウム層を通ってより迅速に拡散し、これは活性層に形成されたソースおよびドレイン領域の拡散ドーピングのプロフィールに影響を及ぼすことがある。別の実際的な制限は、シリコンゲルマニウム層が、活性層の全体的厚さの増加に寄与することであり、これは現代のデバイス設計では縮小している。
したがって、必要とされているのは、下にある弛緩したシリコンゲルマニウム層を使用せずに、SOI構造の活性層に引っ張り歪みを導入する方法、およびその方法によって製造される歪んだ活性層を有するSOI構造、デバイスおよび集積回路である。
本発明の原理によると、歪んだ活性層を有するSOI構造、デバイスおよび集積回路は、シリコンオンインシュレータ基板の活性層に引っ張り歪みを導入することによって形成される。引っ張り歪みは、下にあるシリコンゲルマニウム層を導入せずに提供される。そのために、このような半導体構造は概して、半導体材料の活性層、基板、および活性層と基板の間に配置された絶縁層を含む。絶縁層は、引っ張り応力を活性層に伝達する肥厚領域(厚くなった領域)を有し、この肥厚領域はこの肥厚領域の上にある活性層の歪んだ領域に歪みを導入するのに効果的である。
本発明の原理によると、埋め込み絶縁層の厚さを局所的に増加すると、上にある活性層に局所的に引っ張り応力を伝達する。酸化マスクによって画定される活性層の領域は、引っ張り応力によって歪む。歪んだ活性層は、担体移動度が向上し、それによって歪んだ活性層内および活性層上に形成されたデバイスのデバイス性能を改善することを特徴とする。歪みは、複雑な薄膜蒸着技術に頼らずに活性層に導入することができる。というのは、下にある絶縁層が、デバイス構造に追加の層を一切追加せずに変更されるからである。特に、シリコン活性層は、シリコンゲルマニウム層の欠点を回避しながら歪ませることができる。
本明細書に組み込まれ、その一部を構成する添付図面は、本発明の実施形態を示し、以上で与えられた本発明の包括的説明および以下で与えられる実施形態の詳細な説明とともに、本発明の原理を説明する働きをする。
図1を参照すると、シリコンオンインシュレータ(SOI)基板10は、絶縁層16によってハンドルウェハ( handle wafer)14から垂直方向に分離されたシリコン、または他の適切な半導体材料の活性層12を含む。絶縁層16は、活性層12をハンドルウェハ14から電気的に隔離する。SOI構造10は、ウェハ結合または酸素分離注入(SIMOX)技術などの任意の標準的技術で製造することができる。本発明の図示の実施形態では、活性層12を構成するシリコンは、最初にn型ドーパントをドーピングして、これをn型にするか、p型ドーパントをドーピングして、これをp型にすることができる。ハンドルウェハ14は、任意の適切な半導体材料から形成することができ、これはシリコンおよび多結晶質シリコン(ポリシリコン)を含むが、それに制限されない。絶縁層16を構成する誘電材料は一般的に、約50ナノメートルから約150ナノメートルの範囲の厚さを有する二酸化シリコンであるが、それに制限されない。活性層12は、約10ナノメートル以下という薄さでよいが、一般的には約20ナノメートルから約150ナノメートルの範囲である。ハンドルウェハ14の厚さは、図1では同じ縮尺で図示されていない。
活性層12は一般的に、自己整合の上部酸化バリアおよび研磨ストップを提供するために、パッド窒化物のような硬質マスク材料のキャッピング層22で蓋をされる。そのために、10ナノメートルから150ナノメートルの窒化シリコン(Si3N4)でよい硬質マスク材料のコンフォーマルブランケット(conformal blanket)を、活性層12上に適用する。放射線感光性レジスト層をコンフォーマルブランケット層上に適用し、従来のフォトマスクを通して投影された放射線に露光して、意図されたアイランド18を特徴とするレジスト層に投影された潜像パターンを与え、現像して、潜像パターンを最終的な像パターンに変換する。異方性エッチングプロセス(例えば反応性イオンエッチング)のようなエッチングプロセスは、最終的な像パターンのマスクなし区域にあるキャッピング層22の硬質マスク材料を除去する。レジスト層は、エッチングプロセスの終了後にSOI基板10から剥離される。
各アイランド18の線幅は、従来の設計技術に従って選択され、特定の実施形態では約15nmから約125nmの範囲である。絶縁層16、および隣接するアイランド18間のトレンチ20が、横方向の電気的分離を提供する。
本明細書で、「垂直」、「水平」などの用語に言及するのは、準拠枠を確立するための例示であって、制限的なものではない。本明細書で使用するような「水平」という用語は、方向に関係なくSOI基板10の従来の面または表面に平行な面と定義される。「垂直」という用語は、直前で定義したような水平に対して直角の方向を指す。「上」、「より上」、「下」、(「側壁」の場合のような)「側」、「より高い」、「より低い」、「の上方」、「下方」、および「下」などの用語は、水平面に対して画定される。本発明の精神および範囲から逸脱することなく、様々な他の準拠枠を使用してよいことが理解される。
図2および図3を参照すると、同様の参照番号は図1の同様の形態を指し、その後の製造段階で、それを通して酸化が生じるためのウィンドウ28を画定するために、酸化マスキング材料のストライプ26を製造する。1つのウィンドウ28しか図示されていないが、各ウィンドウ28が隣接するストライプ26を分離する。ストライプ26を製造するために、酸化マスキング材料のブランケット層を図1の構造上に付着させ、標準的リソグラフィおよびエッチングプロセスでパターン形成する。ストライプ26は、アイランド18とともにウィンドウ28の境界を形成又はその側部を形成する領域で、キャッピング層22および絶縁層16の上部表面に重なり、これを覆う。ウィンドウ28を生成する方向性エッチングプロセスは、活性層12の垂直側壁の各々を覆う酸化マスキング材料のスペーサ30を残す。ウィンドウ28を生成する方向性エッチングプロセスはまた、キャッピング層22を腐食しないように、酸化マスキング材料の下にある薄いエッチングストップ材料上で中止しなければならない。
図4を参照すると、同様の参照番号は図2の同様の形態を指し、その後の製造段階で、絶縁層16は、SOI基板10の水平面の領域で、活性層12の局所的領域32の下で、適切なプロセスによって効果的に厚くされる。絶縁層16の肥厚領域は活性層12の領域と垂直方向でほぼ一致する。絶縁層16の肥厚は、絶縁層16と同一面に存在する活性層12の下部平面33および/またはハンドルウェハ14の上部平面35材料を漸進的に消費し、体積が増加した新しい組成を有する材料を形成するプロセスから、または絶縁層16の有効厚さを拡大または増加させることができる任意の他のメカニズムから始まる。領域32は、一般的に、SOI基板10の平面でウィンドウ28と水平方向に整列された活性層12の面内領域である。
絶縁層16の厚さ増加の程度は、活性層12内に形成すべき半導体デバイスに必要な性能、および拡張に対する任意の設計上または物理的制約に応じて変化してよい。本発明の特定の実施形態では、隣接するストライプ26を分離する距離は、約1mのオーダである。
本発明の例示的実施形態では、熱酸化プロセスがSOI基板12の絶縁層16の局所的肥厚に使用され、そこでは、マスク24が窒化シリコンのような非酸化性の材料で形成され、酸化マスクとして機能する。酸化プロセスは、SOI基板10を例えば酸化炉または高速熱焼き鈍し室などの酸素を含み加熱された乾燥または湿潤の環境に露出する必要がある。活性層12の領域32の下にある領域でのみ絶縁層16に選択的膨張を提供し、SOI基板12全体で絶縁層16の均一な肥厚を回避するために、酸化条件を選択する。1つの特定の実施形態では、800℃から950℃での湿式酸化を、領域32の下にある領域にわたって1ナノメートルから10ナノメートルだけ絶縁層の厚さを増加させるのに十分な継続時間にわたって実行する。隣接するストライプ26を分離する距離が約0.2mである本発明の他の実施形態では、領域32の下にある酸化物の厚さが約4.5ナノメートル増加すると、領域32に約0.1パーセントの歪みを提供する。厚さの増加が領域32の下でも不均一であるので、絶縁層16の厚さの増加は、肥厚領域全体における厚さの最大増加によって決定されるが、本発明はそれに制限されない。
活性層12の酸化は、絶縁層16を形成する材料による吸収のせいで、加熱した環境にある大量の酸化ガスからウィンドウ28を通して気体状の酸化種を移動させることによって実行される。アイランド18に重なるマスク24のキャップ層22およびストライプ26、およびアイランド18の垂直側壁を覆うスペーサ30は、酸素を含む環境からの気体状酸化種類、通常はO2またはH2Oが直接的に内側に移送されることから活性層12を保護し、したがって活性層12の側壁および上部表面は、酸化プロセスから実質的に影響されない。
引き続き図4を参照し、例示的実施形態によると、気体状酸化種は、各ウィンドウ28から絶縁層16を通って拡散し、活性層12の下部表面33のシリコンと化学的に反応する。潜在的に、拡散する種は、ハンドルウェハ14を構成する材料が酸化しやすい場合、ハンドルウェハ14の上部表面35の材料と反応することがある。活性層12の下部表面33への酸化種の拡散路は、領域32内の活性層12の部分の方が、マスク24のストライプ26の下にある領域32外の区域より短い。さらに、そして適宜に、ハンドルウェハ14の上部表面35への酸化種の拡散路は、領域32内のハンドルウェハ14の区域の方がマスク24のストライプ26の下にある領域32外のハンドルウェハ14の区域より短い。したがって、活性層12の酸化された部分と同じ組成、および潜在的にはハンドルウェハ14の酸化された部分と同じ組成を有することがある絶縁層16の有効厚さの増加は、下にある領域32で大きくなる。知られているように、形成された二酸化シリコンの厚さは、消費されたシリコンの厚さの約2.27倍と等しい。絶縁層16の局所的な膨張は、マスク24のストライプ26によって覆われた隣接領域に対して、絶縁層16の肥厚領域に重なる活性層12の領域32を垂直方向に隆起させる。
絶縁層16の膨張は、各アイランド18の領域32内で活性層12の材料に応力を加え、これは領域32に正味量の歪みを誘発する。このような局所的歪みの正味量は、通常は1/10から2/10パーセントの範囲であり、活性層12の歪んだ領域32にある担体の電気的特性を変化させる。活性層12がシリコンである場合、歪みは、領域32における担体移動度を20パーセント以上も増加させる。したがって、各アイランド18でその後に製造されるデバイスのデバイス性能は、例えばデバイスのチャネルが歪んだ領域32に位置する場合などに改善される。酸化の量は、歪んだ領域32に導入される歪みの程度に影響するように調整することができる。また、ウィンドウ28の幅も、領域32に誘発される歪みに影響を及ぼす。
図5を参照する、同様の参照番号は図4の同様の形態を指し、その後の製造段階で、マスク24(図4)が、活性層12および絶縁層16の材料に選択的なエッチングプロセスによってSOI基板10から剥離される。マスク24およびキャッピング層22を同じ材料から形成する場合、キャッピング層22がストライプ26間で完全に除去されないように、キャッピング層22の厚さが、マスク24の厚さより厚くなければならない。以前にマスク24の下にあったアイランド18の領域はその後、活性層12の側部にある領域を絶縁層16に取り付けることによって係留され、したがって歪んだ領域32の弛緩が防止または制限される。その結果、歪んだ領域32は、領域32の下にある適切な位置で絶縁層16の厚さ増加または膨張によって永続的に応力を受ける。多少の弛緩が予想される場合、領域32の初期歪みを増加させて弛緩を補償することができる。
図6、図7および図8参照すると、同様の参照番号は図5の同様の形態を指し、その後の製造段階で、歪んだ領域32内にチャネル領域を有するアイランド18内およびその上に半導体デバイスが形成され、これはデバイス内の担体移動度を改善し、したがってデバイスは向上した性能を呈する。本明細書で図示するMOSFETデバイスは、制限的なものではない。というのは、他のタイプの半導体デバイス(例えばメモリセル、他のタイプのトランジスタなど)も本明細書に記載の歪んだ領域の恩恵を受け得ることが当業者には認識されるからである。
特に図6を参照すると、一タイプの半導体デバイス34aは、それぞれがソース/ドレイン領域36、38、およびソース/ドレイン領域36、38間で活性層12内に画定されたチャネル42より上に配置された静電結合ゲート電極40を有する金属酸化物半導体の電界効果トランジスタ(MOSFET)でよい。薄いゲート誘電体44が、ゲート電極40をチャネル42から電気的に絶縁する。ゲート電極40の形成に使用される材料は、例えばポリシリコン、タングステン、または任意の他の望ましい材料でよく、ソース/ドレイン領域36、38およびその延長部は、適切なドーパント種のイオン注入によって供給することができる。当技術分野でよく知られているように、窒化シリコンのような材料の側壁スペーサ37、39を、ゲート電極40の垂直側壁に追加することができる。スペーサ37、39およびゲート電極40は集合的に、ソース/ドレイン領域36、38の深いドーピング部分に注入するための自己整合マスクとして働く。分離領域43が、活性層12の隣接アイランド18間に電気的分離を提供する。分離領域43は、化学蒸着(CVD)によって共形的に付着させ、平坦に研磨して、化学機械的研磨(CPM)プロセスまたは任意の他の適切な平坦化技術で平坦化した二酸化シリコンなどの適切な誘電材料で充填する。キャッピング層22は、平坦化作業の研磨ストップとして作用し、平坦化作業後に除去される。
担体は、ソース/ドレイン領域36、38間でチャネル42を通ってチャネル42の電気抵抗の変化に比例して流れ、これはゲート電極40に印加される電圧に比例して変化する。デバイス34aは、各チャネル42が歪んだ領域32の1つと一致するように製造される。本発明の特定の実施形態では、デバイス34aはnチャネル電界効果トランジスタ(NFET)であり、集積回路内に存在する任意のpチャネル電界効果トランジスタは、歪んだ領域32がないSOI基板10の区域に形成される。電界効果トランジスタは、当業者によく知られた従来通りの製造プロセスによって形成される。
特に図7および図8を参照すると、別のタイプの半導体デバイス34bは、それぞれがチャネル46を供給する薄い垂直層(フィン)、およびチャネル領域46の側部にある2つの個々のゲート部分48a、48b(図8)を画定するゲート電極48を有する自己整合二重ゲートフィン電界効果トランジスタ(フィン型FET)でよい。ゲート電極48は、ソース/ドレイン領域50、52間に位置決めされ、チャネル46に重なる。ゲート電極48は、ゲート誘電体47によってゲート電極48から電気的に分離される。ゲート電極48の側部にあるスペーサ54、56を設ける。デバイス34bは、チャネル46が歪んだ領域32と一致するように製造される。本発明は、完成したデバイス構造内でキャッピング層22の全部または一部が活性層12上に残ってよいことを想定する。フィン型FETは、当業者によく知られている従来通りの製造プロセスによって形成される。
図9を参照すると、同様の参照番号は図2の同様の形態を指し、マスク24を適用し、パターンを形成する前に、パッド層58をキャッピング層22に適用することができる。パッド層58は、マスク24にパターンを形成するエッチング、およびマスク24を除去するエッチングの間にエッチング用ストップとして機能する任意の材料である。パッド層58は、このような個々のエッチングプロセスが、ストライプ26間のキャッピング層22を薄くすることを効果的に防止する。パッド層58の1つの適切な材料は、キャッピング層22が窒化シリコンである場合、二酸化シリコンであり、厚さは約2ナノメートルから約10ナノメートルである。キャッピング層22を過度に薄くすると、研磨用ストップおよび酸化マスクとしてのその有効性が低下する。
本発明を様々な実施形態の説明によって例示し、これらの実施形態を非常に詳細に説明してきたが、出願人は、請求の範囲をこのような詳細に限定、またはいかなる意味でも制限するものではない。追加の利点および変更が、当業者にはすぐに明白になる。したがって、より広い態様での本発明は、特定の詳細、代表的装置および方法、および図示および説明された例示的実施例を制限するものではない。したがって、出願人の全体的な本発明の概念の範囲から逸脱することなく、このような詳細から逸脱することができる。
基板の一部の部分断面略斜視図である。 その後の製造段階における図1と同様の図である。 概ね図2の線2A−2Aに沿って切り取った断面図である。 その後の製造段階における図2と同様の図である。 その後の製造段階における図2と同様の図である。 一連のその後の製造段階後の図5と同様の図である。 本発明の代替実施形態による一連のその後の製造段階の後の図6と同様の図である。 図7と同様の図である。 本発明の代替実施形態による図2と同様の図である。

Claims (20)

  1. 基板と、歪んだ領域を含んだ半導体材料の活性層と、前記活性層と前記基板との間に配置され、前記歪んだ領域の下に形成された肥厚領域を含む絶縁層とを有し、
    前記活性層が、前記絶縁層の上に予めアイランド部分とされ、前記アイランド部分に連続し、ゲート電極を横断する方向の側部の領域により、活性層が前記絶縁層上に取り付けられて前記絶縁層に前記肥厚領域を形成する際に受ける歪みにより形成される前記歪んだ領域の弛緩が防止され、
    前記肥厚領域が引っ張り応力を前記歪んだ領域に伝達する、半導体構造。
  2. 前記絶縁層が埋め込み酸化物層であり、前記活性層がシリコンである、請求項1に記載の半導体構造。
  3. さらに、前記活性層に画定されたソースと、前記活性層に画定されたドレインと、前記ソースと前記ドレインと間で前記活性層の一部に画定されたチャネルとを備え、前記チャネルが前記活性層の前記歪んだ領域内に少なくとも部分的に配置される、請求項1に記載の半導体構造。
  4. さらに、前記チャネルを画定する前記活性層の前記部分から電気的に分離されたゲート電極を有する、請求項3に記載の半導体構造。
  5. 前記歪んだ領域が前記ゲート電極を分割する、請求項4に記載の半導体構造。
  6. 前記ゲート電極がほぼ前記チャネルに重なる、請求項4に記載の半導体構造。
  7. さらに、前記活性層を使用して製造された半導体デバイスを有する、請求項1に記載の半導体構造。
  8. 前記絶縁層が二酸化シリコンである、請求項7に記載の半導体構造。
  9. 前記基板がシリコンであり、前記基板の酸化によって形成された前記肥厚領域を有する、請求項8に記載の半導体構造。
  10. 前記引っ張り応力が、前記歪んだ領域の担体移動度を向上させる、請求項1に記載の半導体構造。
  11. 前記肥厚領域の厚さが、5ナノメートルから10ナノメートルの範囲での増加だけ増加する、請求項1に記載の半導体構造。
  12. 前記絶縁層の前記肥厚領域が、前記肥厚領域の側部にある前記絶縁層の周囲領域の厚さより大きい厚さを有する、請求項1に記載の半導体構造。
  13. さらに、前記アイランド部分が前記歪んだ領域の側部にある第1および第2アンカを有し、前記第1および第2アンカが前記活性層の前記歪んだ領域の弛緩を制限する、請求項1に記載の半導体構造。
  14. 前記第1および第2アンカが、前記歪んだ領域の側部にある前記活性層の隣接領域からなる、請求項13に記載の半導体構造。
  15. 基板と、歪んだ領域を含んだ半導体材料の活性層と、前記活性層と前記基板との間に配置され、前記歪んだ領域の下に形成された肥厚領域を含む絶縁層とを有し、前記活性層が、前記絶縁層の上に予めアイランド部分とされ、前記アイランド部分に連続し、ゲート電極を横断する方向の側部の領域により、活性層が前記絶縁層上に取り付けられて前記絶縁層に前記肥厚領域を形成する際に受ける歪みにより形成される前記歪んだ領域の弛緩を防止するように形成された半導体構造を製造する方法であって、前記製造方法は、
    前記基板上に前記絶縁層と、前記活性層とキャッピング層とを形成するステップと、
    前記活性層と前記キャッピング層とを像パターニングして前記アイランド部分を形成するステップと、
    前記キャッピング層の上に前記肥厚領域を形成するため前記肥厚領域に相当するウィンドウを開けた酸化マスクを形成するステップと、
    前記ウィンドウを通して気体状酸化種を前記絶縁層に吸収させ前記絶縁層を熱酸化させることにより前記肥厚領域にわたって前記絶縁層の厚さを増加させ、かつ、前記活性層とその下にある絶縁層との間の位置で局所的に前記活性層を選択的に酸化させて前記肥厚領域が前記活性層に引っ張り応力を誘発させ、前記側部の領域により前記歪んだ領域の弛緩を防止することにより肥厚領域に重なる前記活性層の歪んだ領域を形成させるステップとを含む、半導体構造を製造する方法。
  16. 活性層がシリコンであり、絶縁層を選択的に酸化することが、絶縁層の肥厚領域を形成するために、周囲環境から絶縁層内で拡散する気体状酸化種に活性層を反応させることを含む、請求項15に記載の方法。
  17. 絶縁層および活性層を覆うことが、パターン形成した窒化シリコンの層を形成することを含む、請求項15に記載の方法。
  18. さらに、活性層にソースおよびドレインを形成することを含み、ソースおよびドレインが活性層の歪んだ領域に少なくとも部分的に画定されたチャネルの側部にある、請求項15に記載の方法。
  19. さらに、活性層から電気的に分離され、チャネルと重なるゲート電極を形成することを含む、請求項18に記載の方法。
  20. さらに、上にある絶縁層の肥厚領域の厚さを増加させるように、歪んだ領域の下にある位置で絶縁層を支持する基板の部分を選択的に酸化することを含む、請求項15に記載の方法。
JP2007505543A 2004-03-31 2005-03-22 歪みシリコンオンインシュレータ構造を製造する方法およびそれによって形成された歪みシリコンオンインシュレータ構造 Expired - Fee Related JP5039901B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/814,482 2004-03-31
US10/814,482 US8450806B2 (en) 2004-03-31 2004-03-31 Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
PCT/EP2005/051319 WO2005096372A1 (en) 2004-03-31 2005-03-22 Method for fabricating strained silicon-on-insulator structures and strained silicon-on -insulator structures formed thereby

Publications (2)

Publication Number Publication Date
JP2007531294A JP2007531294A (ja) 2007-11-01
JP5039901B2 true JP5039901B2 (ja) 2012-10-03

Family

ID=34961842

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007505543A Expired - Fee Related JP5039901B2 (ja) 2004-03-31 2005-03-22 歪みシリコンオンインシュレータ構造を製造する方法およびそれによって形成された歪みシリコンオンインシュレータ構造

Country Status (13)

Country Link
US (2) US8450806B2 (ja)
EP (1) EP1738410B1 (ja)
JP (1) JP5039901B2 (ja)
KR (1) KR100961809B1 (ja)
CN (1) CN1914722B (ja)
AT (1) ATE398834T1 (ja)
CA (1) CA2559219C (ja)
DE (1) DE602005007592D1 (ja)
IL (1) IL178387A (ja)
IN (1) IN2015DN00261A (ja)
MX (1) MXPA06007643A (ja)
TW (1) TWI404145B (ja)
WO (1) WO2005096372A1 (ja)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
JP4878738B2 (ja) * 2004-04-30 2012-02-15 株式会社ディスコ 半導体デバイスの加工方法
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP5113999B2 (ja) * 2004-09-28 2013-01-09 シャープ株式会社 水素イオン注入剥離方法
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060226492A1 (en) * 2005-03-30 2006-10-12 Bich-Yen Nguyen Semiconductor device featuring an arched structure strained semiconductor layer
US7439165B2 (en) * 2005-04-06 2008-10-21 Agency For Sceince, Technology And Reasearch Method of fabricating tensile strained layers and compressive strain layers for a CMOS device
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US7615806B2 (en) * 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US20070224838A1 (en) * 2006-03-27 2007-09-27 Honeywell International Inc. Method of straining a silicon island for mobility improvement
US20070257310A1 (en) * 2006-05-02 2007-11-08 Honeywell International Inc. Body-tied MOSFET device with strained active area
US9305859B2 (en) * 2006-05-02 2016-04-05 Advanced Analogic Technologies Incorporated Integrated circuit die with low thermal resistance
US7585711B2 (en) 2006-08-02 2009-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor-on-insulator (SOI) strained active area transistor
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7538391B2 (en) * 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8558279B2 (en) 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
CN103377930B (zh) * 2012-04-19 2015-11-25 中国科学院微电子研究所 半导体结构及其制造方法
US8859348B2 (en) * 2012-07-09 2014-10-14 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US9306066B2 (en) * 2014-02-28 2016-04-05 Qualcomm Incorporated Method and apparatus of stressed FIN NMOS FinFET
US9391198B2 (en) 2014-09-11 2016-07-12 Globalfoundries Inc. Strained semiconductor trampoline
KR102251061B1 (ko) 2015-05-04 2021-05-14 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
US9373624B1 (en) 2015-06-11 2016-06-21 International Business Machines Corporation FinFET devices including epitaxially grown device isolation regions, and a method of manufacturing same
US9608068B2 (en) 2015-08-05 2017-03-28 International Business Machines Corporation Substrate with strained and relaxed silicon regions
US20190081145A1 (en) * 2017-09-12 2019-03-14 Globalfoundries Inc. Contact to source/drain regions and method of forming same
US10468486B2 (en) 2017-10-30 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. SOI substrate, semiconductor device and method for manufacturing the same
US11428401B2 (en) 2019-05-31 2022-08-30 Liberty Hardware Mfg. Corp. Illuminated wall-mount hardware assembly

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01239867A (ja) 1988-03-19 1989-09-25 Fujitsu Ltd 絶縁膜上半導体の形成方法
JPH05121744A (ja) 1991-10-28 1993-05-18 Fujitsu Ltd Soi型半導体装置とその製造方法
US5332868A (en) 1992-06-22 1994-07-26 Vlsi Technology, Inc. Method and structure for suppressing stress-induced defects in integrated circuit conductive lines
US5604370A (en) * 1995-07-11 1997-02-18 Advanced Micro Devices, Inc. Field implant for semiconductor device
US6069054A (en) * 1997-12-23 2000-05-30 Integrated Device Technology, Inc. Method for forming isolation regions subsequent to gate formation and structure thereof
US6117711A (en) * 1998-03-02 2000-09-12 Texas Instruments - Acer Incorporated Method of making single-electron-tunneling CMOS transistors
KR100296130B1 (ko) 1998-06-29 2001-08-07 박종섭 이중막 실리콘웨이퍼를 이용한 금속-산화막-반도체 전계효과트랜지스터 제조방법
KR100265350B1 (ko) 1998-06-30 2000-09-15 김영환 매립절연층을 갖는 실리콘 기판에서의 반도체소자 제조방법
JP4348757B2 (ja) * 1998-11-12 2009-10-21 ソニー株式会社 半導体装置
JP4074051B2 (ja) 1999-08-31 2008-04-09 株式会社東芝 半導体基板およびその製造方法
US6180487B1 (en) * 1999-10-25 2001-01-30 Advanced Micro Devices, Inc. Selective thinning of barrier oxide through masked SIMOX implant
US6261876B1 (en) * 1999-11-04 2001-07-17 International Business Machines Corporation Planar mixed SOI-bulk substrate for microelectronic applications
US6300218B1 (en) 2000-05-08 2001-10-09 International Business Machines Corporation Method for patterning a buried oxide thickness for a separation by implanted oxygen (simox) process
JP2002043576A (ja) * 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
US6630699B1 (en) * 2000-08-31 2003-10-07 Lucent Technologies, Inc. Transistor device having an isolation structure located under a source region, drain region and channel region and a method of manufacture thereof
JP2002289552A (ja) 2001-03-28 2002-10-04 Nippon Steel Corp Simox基板の製造方法およびsimox基板
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
JP2003174161A (ja) 2001-12-05 2003-06-20 Matsushita Electric Ind Co Ltd 半導体装置
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6806151B2 (en) * 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US6495429B1 (en) * 2002-01-23 2002-12-17 International Business Machines Corporation Controlling internal thermal oxidation and eliminating deep divots in SIMOX by chlorine-based annealing
US6593205B1 (en) * 2002-02-21 2003-07-15 International Business Machines Corporation Patterned SOI by formation and annihilation of buried oxide regions during processing
US6737332B1 (en) * 2002-03-28 2004-05-18 Advanced Micro Devices, Inc. Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same
US6727147B2 (en) * 2002-06-10 2004-04-27 Oki Electric Industry Co., Ltd. MOSFET fabrication method
US20040007755A1 (en) * 2002-07-12 2004-01-15 Texas Instruments Incorporated Field oxide profile of an isolation region associated with a contact structure of a semiconductor device
JP2004047806A (ja) 2002-07-12 2004-02-12 Toshiba Corp 半導体装置および半導体装置の製造方法
JP3532188B1 (ja) 2002-10-21 2004-05-31 沖電気工業株式会社 半導体装置及びその製造方法
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
JP2004207387A (ja) * 2002-12-24 2004-07-22 Sumitomo Mitsubishi Silicon Corp Simox基板およびその製造方法
US7157774B2 (en) * 2003-01-31 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon-on-insulator transistors with mesa isolation
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US7041575B2 (en) * 2003-04-29 2006-05-09 Micron Technology, Inc. Localized strained semiconductor on insulator
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US6815278B1 (en) * 2003-08-25 2004-11-09 International Business Machines Corporation Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations
US6887751B2 (en) * 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
JP4004448B2 (ja) 2003-09-24 2007-11-07 富士通株式会社 半導体装置およびその製造方法
US6919258B2 (en) * 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same

Also Published As

Publication number Publication date
TW200532803A (en) 2005-10-01
EP1738410A1 (en) 2007-01-03
ATE398834T1 (de) 2008-07-15
DE602005007592D1 (de) 2008-07-31
IN2015DN00261A (ja) 2015-07-10
IL178387A (en) 2010-12-30
TWI404145B (zh) 2013-08-01
US20050227498A1 (en) 2005-10-13
CA2559219C (en) 2010-11-02
US20080050931A1 (en) 2008-02-28
US7704855B2 (en) 2010-04-27
EP1738410B1 (en) 2008-06-18
CN1914722B (zh) 2013-01-23
CA2559219A1 (en) 2005-10-13
CN1914722A (zh) 2007-02-14
US8450806B2 (en) 2013-05-28
MXPA06007643A (es) 2006-09-04
KR20060126550A (ko) 2006-12-07
KR100961809B1 (ko) 2010-06-08
IL178387A0 (en) 2007-02-11
JP2007531294A (ja) 2007-11-01
WO2005096372A1 (en) 2005-10-13

Similar Documents

Publication Publication Date Title
JP5039901B2 (ja) 歪みシリコンオンインシュレータ構造を製造する方法およびそれによって形成された歪みシリコンオンインシュレータ構造
TWI413216B (zh) 用於製造受應力之mos裝置之方法
TWI446453B (zh) 受應力之場效電晶體以及其製造方法
JP5009611B2 (ja) Finfetデバイス中の構造を形成する方法
US6924178B2 (en) Oxide/nitride stacked in FinFET spacer process
US8012820B2 (en) Ultra-thin SOI CMOS with raised epitaxial source and drain and embedded SiGe PFET extension
TWI390666B (zh) 絕緣體上半導體裝置之製造方法
US20080064173A1 (en) Semiconductor device, cmos device and fabricating methods of the same
JP2006507681A (ja) 歪みFinFETCMOSデバイス構造
KR20050094576A (ko) 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US20130285117A1 (en) CMOS WITH SiGe CHANNEL PFETs AND METHOD OF FABRICATION
JP2009004425A (ja) 半導体装置及び半導体装置の製造方法
US20070024321A1 (en) Semiconductor cmos transistors and method of manufacturing the same
JPH077773B2 (ja) 半導体装置の製造方法
WO2005074035A1 (ja) 電界効果型トランジスタおよびその製造方法
JP3471252B2 (ja) 薄膜トランジスタ及びその製造方法
JP5454130B2 (ja) 半導体およびその製造方法
US7105391B2 (en) Planar pedestal multi gate device
JP2006202950A (ja) Mos型電界効果トランジスタ及びその製造方法
JPH07302908A (ja) 半導体装置及びその製造方法
WO2022133652A1 (zh) 场效应晶体管及其制造方法
JP2001077361A (ja) 半導体集積回路装置およびその製造方法
JPH0521789A (ja) 電界効果型トランジスタ及びその製造方法
KR101231229B1 (ko) 반도체 소자의 트랜지스터 제조 방법
KR100483564B1 (ko) 전계 효과 트랜지스터 및 그의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080205

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20100721

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20100806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20100827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120314

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120417

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120417

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120511

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150720

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees