JP4833469B2 - 面積の大きな基板の処理のためのプラズマ反応装置 - Google Patents

面積の大きな基板の処理のためのプラズマ反応装置 Download PDF

Info

Publication number
JP4833469B2
JP4833469B2 JP2001516221A JP2001516221A JP4833469B2 JP 4833469 B2 JP4833469 B2 JP 4833469B2 JP 2001516221 A JP2001516221 A JP 2001516221A JP 2001516221 A JP2001516221 A JP 2001516221A JP 4833469 B2 JP4833469 B2 JP 4833469B2
Authority
JP
Japan
Prior art keywords
reactor
substrate
electrode
plasma
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001516221A
Other languages
English (en)
Other versions
JP2003506889A (ja
Inventor
シュミット,ジャック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Solar AG
Original Assignee
Oerlikon Solar AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oerlikon Solar AG filed Critical Oerlikon Solar AG
Publication of JP2003506889A publication Critical patent/JP2003506889A/ja
Application granted granted Critical
Publication of JP4833469B2 publication Critical patent/JP4833469B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Control Of Motors That Do Not Use Commutators (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

【0001】
(技術分野)
本発明は、容量結合型の高周波(RF)プラズマ反応装置およびこのような反応装置での少なくとも1つの基板の処理のための方法に関する。特に本発明は、面積の大きな基板のための容量結合型の(RF)プラズマ反応装置に関する。
【0002】
(従来技術)
このような反応装置は、「容量性」RFグロー放電反応装置として、ないしは平板のプラズマ静電容量(Plasmakapazitaeten)または平行−平板のRFプラズマ反応装置として、あるいは上記の概念の組合せとして公知である。
【0003】
容量性RFプラズマ反応装置は、通常、基板をグロー放電のプロセス工程にかけるために使用される。基板表面の性質を変えるために種々のプロセスが用いられる。プロセスおよび殊にグロー放電に導入されたガスに依存して、基板の性質を変化させることもできるし(付着性、湿潤性)、薄膜を被せることもできるし(化学蒸着CVD、2極スパッタリング)他の薄膜を的確に除去することもできる(ドライエッチング、ドライエッチング法)。
【0004】
下記の表には、低圧でのコンデンサー放電の際に実施することができる種々のプロセスが簡単にまとめられている。
【0005】
【表1】
Figure 0004833469
【0006】
工業で常用される高周波発生器の標準周波数は、13.56MHzである。この周波数は、工業利用のために国際電気通信協定(internationale Fernmeldeabkommen)によって認められている。しかしながら、これより低いおよびこれより高い周波数は、プラズマ静電容量の応用の開拓期以来、論議されてきた。今日、例えばプラズマCVD適用(プラズマ化学蒸着)の場合に13.56MHzを超えるRF周波が使用される傾向がみられ、この場合、例えば27.12MHzおよび40.68MHzの値が支持されている(13.56MHzの倍音)。したがって本発明は、RF周波(1〜100MHzの範囲)に関するが、しかしながら主として比較的高い周波数(10MHz超)の場合が重要である。本発明は、それどころかマイクロ波範囲(数GHz)を使用することができる。
【0007】
重大な問題が、大きな判(表面)の基板と組み合わされた13.56MHzを超えるRF周波数の場合に、反応装置の大きさがプラズマが発生するプロセス領域内の電磁RF波の自由真空波長(freie Vakuumwellenlaenge)に比例してもはや無視できなくなるという形で生じる。この場合には反応装置内のプラズマ強度は、もはやこれ以上均一であることは不可能である。この制限の物理的な原因は、RF波が反応装置の内部の形成される定在波(局所的な振動)に沿って分散することにある。他の不均一性、例えばプラズマ−プロセスに使用されるガスによって惹起される不均一性が、反応装置内で同様に生じる可能性がある。
【0008】
本発明の目的は、反応装置内の電磁的な(もしくはプロセス−)不均一性を除去または少なくとも著しく減少させるための解決を提案することである。
【0009】
したがって、本発明の重要な特徴によれば、改善された容量結合型RFプラズマ反応装置は、
− 少なくとも2つの導電性の、相互に分離した電極、その際、いずれもの電極が外側の表面を有している、
− これら電極間に囲まれた内側のプロセス空間、
− 内側のプロセス空間に反応ガスを供給するためのガス供給装置、
− プロセス空間内のプラズマ放電の発生のための、電極の少なくとも一方と接点で結合している少なくとも1つのRF発生器、および場合によっては基板へのイオン衝撃を高めるためのもう1つのRF発生器、
− 反応装置から反応ガスを除去するための装置、その結果、該ガスが反応装置内ないしは少なくとも前記プロセス空間内で循環する、
− 内側のプロセス空間の境界形成しかつプラズマ放電のプロセス工程にさらされるべき、少なくとも1つの基板、その際、該基板は電極間に配置されている、からなる反応装置において、
さらに少なくとももう1つの誘電性の「補正」層が、少なくとも1つの基板および該プラズマと電気的に直列になっている静電容量として、内側のプロセス空間の外側に存在しており、その際、反応装置内のプロセス不均一性を補償するかまたは設定した分布プロフィールを発生させるために該誘電層が表面単位で一定ではない静電容量を有していることを特徴とする反応装置である。
【0010】
換言すれば、本発明の反応装置内での、提案される処理方法は、
− 少なくとも1つの基板を少なくとも2つの電極の間に配置し、その際該基板が標準平面に合わされており、
− 反応ガス(またはガス混合物)を両方の電極間の内側のプロセス空間内に導入し、
− 高周波発生器を電極の少なくとも一方に接点で接続し、
− プラズマ放電を基板の前の内側のプロセス空間の少なくとも1つの領域内に発生させ、その結果、該基板がプラズマ放電のプロセス工程に曝され、
− 該基板および該プラズマと電気的に直列になっている特別の静電容量(Extra-Kapazitaet)を生じさせ、その際、該特別の静電容量が1つの断面形状を有しており、かつ、
− 特別の静電容量の該断面形状が、該断面形状が表面単位あたりの、場所に依存した静電容量を少なくとも基板の標準平面の方向に有するように決定されていることによる工程を含んでいる。
【0011】
上記の解決手段が一般的に有効であることが確認されなければならない。該解決手段は、全てのプラズマ−プロセスに有効であるが、しかしながら、特定のRF周波数にしか有効ではない。
【0012】
上記の(本質的に)「誘電性の層」に相応するこの「特別あつらえの(massgeschneiderte)特別の静電容量」は、容量分圧器の構成要素として機能する。
【0013】
容量の変化は、有利に前記の層の不均一な厚さによって達成することができる。このように特別の静電容量は、断面形状前記の表面に沿って平らではない輪郭(Verlauf)を有する。
【0014】
反応装置のプロセス空間内の不均一な電圧分布を補償するために前記の厚さは、有利に次のとおり決定される:
− いわゆる「補正層」は、前記の電極への高周波発生器の接点から最も遠くに離れている、プロセス空間の位置で最も厚く、その際、この距離が、外側の電極表面をたどることによって測定され、
− かつ、プロセス空間内の該位置と付属の電極の接点との間の距離が減少するのと同じく該厚さが有利にプロセス空間内の該位置からはじめて減少する。
【0015】
当然のことながら、上記の「距離」は、全ての可能な経路のうちの最短のものと解釈されなければならない。
【0016】
プロセス空間内に供給される、拡散する電磁波が、反応器の中心付近で重なり合い、その結果、定在波が形成されかつこのようにして電圧最大値が反応器の中心の周りに生じる場合には、いわゆる「補正層」の厚さは、中央では縁部でより大きくなる。
【0017】
本発明による、いわゆる「補正層」の適合のための解決は、該層の少なくとも表面を、該層が、プロセス空間の内側に向けられている平らではない外側表面、有利に曲面で凹面の表面、を有するように形成することにある。このような「平らではなく形成された」表面は、種々の方法で得ることができる。
【0018】
本発明による有利な方法は、前記の電極の少なくとも一方を、該電極が基板に向けられた平らではない表面、殊に通常曲面で、凹面の表面、を有するように形成することである。
【0019】
本発明の他の目的は、いわゆる「補正層」の組立てまたは構造を定義することである。
【0020】
有利な実施態様の場合には前記の層は、固体の誘電層、ガス状の誘電層の実施可能な態様の少なくとも1つを有している。
【0021】
該層がガス状の誘電層として実施されている場合には、該ガスは、有利に、プラズマが発生する内側のプロセス空間と結合している。
【0022】
平らではなく形成された外側表面を有する板からなる基板は、同様に、本発明による反応装置にいわゆる「補正層」を備えるための解決を示す。
【0023】
本発明のもう1つの目的は、、反応装置内での基板の配置を定義することである。即ち、基板は、前記の固体の構成要素と一方の電極との間に存在するスペーサ材の上に配置された固体の構成要素を有することができ、その際、該スペーサ材は、前記の「補正層」の中に存在し、かつ、種々の距離を決定する寸法を有する。このようなスペーサ材によって惹起される問題は、スペーサ材と基板の間の接触による局所的な磁界障害に関係する。
【0024】
したがって、本発明によれば、スペーサ材が有利に固体の構成要素のための支え部(Auflage)として適当である固体の端部を有し、その際、該固体の端部が周囲を囲む空所を有することが提案される。
【0025】
さらなる記載は、本発明による改善によってプラズマ−プロセス中の電磁的不均一性を顕著に減少される容量結合型RFプラズマ反応装置に関する。
【0026】
RF電源の周波数が10MHzを超えるとただちに、とりわけ多くのプロセスプラズマ内での電磁的な拡散によって0.5m2以上の、殊に1m2を超える、規模で、基板に対する真の制限がもたらされる。正確に言えば、プラズマに曝される基板の最大寸法が考慮されなければならない。該基板が概ね正方形の表面を有している場合には、該「最大の寸法」は、該正方形の対角線である。したがって、いずれの「最大の寸法」も、ほぼ0.7mより厳密に大きい。
【0027】
本発明によって解決される基本的な問題は、プラズマ静電容量(Plasma-Kapazitaet)内での電磁波の拡散性のために、プロセス空間内のRF電圧が均一ではないことにある。RF電源が中央で電極に接続される場合には、該電圧は、該電極の中央から縁部に向かって若干減少する。
【0028】
上記のとおり、プラズマ自体内の(本質的に)均一なRF電圧を達成するための方法は、次のとおりである:
− 静電容量を電極間に導入し、その際、該静電容量が反応装置内のプラズマ(および基板)と直列に接続されている、
− 該特別の静電容量がプラズマ静電容量自体とともに、例えば不均一なガスの組成、縁部効果(Randeffekten)によるかまたは温度勾配により生じるプロセス−不均一性を補償するために局所的なRF電力分布を適合させる分圧器として機能する。
【0029】
さらに次に有利な本発明による実施態様を図につき詳説する:
(好ましい実施の形態)
図1および2では、反応装置が1で示されている。反応装置1は、それぞれの外側面3a,5aを有する2つの金属電極3,5を備えている。この電極は、互いに離れている。
【0030】
ガス供給源によって7該反応装置に反応ガス(ガス混合物)が供給され、該反応ガスの中でプラズマがRF−放電によって発生する(上記の表参照)。該反応装置のもう一端にあるポンプ装置8によって該ガスが吸い出される。このRF−放電は、高周波電源9によって発生し、該高周波電源は、位置9aで上側の電極3に接続されている。位置9aは、上記電極の外側面3aの裏側の中央に位置している。
【0031】
この概略図にはさらに特別の静電容量11が、電気的に直列に連なったプラズマ13および該特別の静電容量上に配置された基板15とともに示されている。
【0032】
該プラズマ13は、電極3と基板15の間に広がる内部空間(プラズマと同じ参照番号が付されている)の中に観察することができる。
【0033】
基板15は、均一な厚さを有する誘電板であることができ、この誘電板は、内側のプロセス空間13の下端を、基板15がプラズマ放電に曝されているように決定している。基板15は、一般的平面15aに位置合わせされており、かつ、該基板の厚さは、この平面に対して直角である。
【0034】
基板15と下側の電極5の間に入れられた特別の静電容量11によって、プラズマ全体にわたる(電極3と基板15との間の、例えば線17に沿った)RF電圧(Vp)が電極3、5の間の高周波電圧(VRF)の一部でしかない状態で電圧の変化が生じる。
【0035】
特別の静電容量11が物質としては上記の平面15aに対して直角である方向で不均一な厚さe1を有する誘電層として(例えばセラミック板として)定義されていることに注意する必要がある。
【0036】
電極3へのRF電源の接続が中央に存在しているため、また反応装置の上記の(図1および2に示された)構成要素の配置のために、誘電板11の厚さe1は中央で最大でありかつ該中央から縁部に向かって減少し、これはプロセス空間13内の電磁的な不均一性を平均化するのが目的である。このようにして比較的厚い該直列静電容量(Serienkapazitaet)の存在によってプラズマ全体にわたる実効電圧が減少する。そのことから図1および2に示されたような面積の大きな基板については反応装置内の電磁効果の補償のために、直列静電容量11は、反応装置の中央でもう少し厚くてなくてはならずかつ縁部に向かって減衰しなければならない。
【0037】
図3〜8の概略図には、図1および2に示されているような型の容量結合型の高周波プラズマ反応装置内の前記の不均一性の補償を可能にする種々の可能なコンフィギュレーションが示されている。図3〜8に示された基本的なオプションの組合せが可能であることがわかる。
【0038】
図3の場合には均一な厚さe2を有する平板のセラミック板21が上側の電極23に固定されている。これに適合した間隙31が金属電極23とセラミック板21の間に存在している。もう一方の電極25の上側に基板35が配置されており、この基板は、誘電性であってもよいし、金属であってもよい(あるいは少なくともその表面の一方が導電性であってもよい)。
【0039】
図3〜8の場合には、付属の電極へのエネルギー源(例えば図1および2のRF電源9)の接続の位置は、電極上の中央に配置されていると仮定されており、かつ、同様に図示されているとおりの反応装置の一般的な形状寸法と仮定されており、その結果、このような条件下で、適合された層31は、前記のプロセス空間13方向に凹面の通常の断面形状を有した曲面である裏側の表面31aを有している。
【0040】
このようにして、付属の上側の電極23(該電極の内側の境界はプロセス空間13に向けられておりかつ前記の表面31aを決定する)は、可変の厚さe3を有する。e3の寸法は、電極の中央で最も薄くかつその縁部で最も厚い。
【0041】
第2の対向する電極25は、第1の電極23に対して通常平行であり、かつ、均一な厚さe4を有する。
【0042】
固体の誘電板21と調整された間隙31の間の結合が気密な結合ではないことは、わかる。このようにしてプロセス空間13中に導入された反応ガスは、間隙31の中を循環することができ、この間隙の厚さは、有利に、その中でプラズマ放電が回避されるように設計される。その中の該プラズマ放電を回避するために、該「補正間隙」31に補完する装置を備えることが、同様に可能である。
【0043】
図4の場合には電極23は、図3の場合と同じ内側の断面形状31aを有している。しかしながら今度は、この「補正層」は、可変の厚さe5を有するセラミック板41である。
【0044】
図5〜8の場合には基板35’は、誘電基板である。
図5の場合には上側の電極33は、均一の厚さe4を有する平板の、金属の電極である。下側の電極45が図3の上側の電極23に相応する。電極45は、内側の表面51bを有しており、この内側の表面によって曲面かつ凹面のガス状の「補正層」のための背後の境界が決定される。該層51の上に誘電性の平板の水平な板21が配置されている。均一な厚さe5のこのセラミック板21は、その縁部で下側の電極45(対電極)と結合している。基板35’は、該セラミック板21の上に配置されている。
【0045】
反応室内の反応ガスの圧力が通常10-1〜103Paであるので、ガス状の補正間隙の内部の圧力は、反応室内と本質的に同じである。通常エッチング工程の場合にはプラズマ放電領域13内の反応ガス圧は、1Pa〜30Paであり、かつプラズマCVDプロセスの場合には30〜103Paである。その結果、補正間隙(31,51...)内の圧力は、通常、低圧である。したがって、このようなガス状の誘電間隙を「部分真空間隙(Partialvakuum-Luecke)」と呼ぶこともできる。
【0046】
図6の場合には基板35’(均一の厚さを有する)が固体の誘電板(表面41a)の上に置かれており、この誘電板は、逆の位置で図4のセラミック板41に相応する。該板41の前の内側の表面41aは平らであり、これに対して、その裏側41bは凸面であり、かつ、下側の金属の電極45に直接接触しており、この金属の内側の表面は、この場合には凹面である。したがって該板41は、「レンズ」状である。
【0047】
図7に示されている電極33,45は、図5の電極に相応する。均一な厚さを有する基板35’は、平らでありかつ上側の金属の電極33に対して平行である。基板35’は、小さな支柱47の上に置かれており、これら支柱は、前記電極45と該基板の間に配置されている。電極45の平たくない内側の、上側の面51bは、該電極45と該基板35’の間に不均一な厚さe6を有するガス状の間隙61を生じさせる。このようにして該間隙61は、プロセスの不均一性の補償のための補正誘電層として機能し、かつ、基板35’をプラズマ放電の中で均一に処理することを可能にする。
【0048】
図8の場合には2つの対向する電極25,33は、同じ厚さを有しており、平らでありかつ相互に平行である。適合された層71は、並べられた支柱57の上に配置された平たくない基板65から形成される。このような「スペーサ材」57の高さは、基板65に必要な平たくない断面形状を与えるように算出される。
【0049】
図8の設計は、機械として最も有利なものであり、それというのも、両方の電極33,25は平らなままでかつ小さな間隙71の断面形状が支柱57によって決定されるからである。
【0050】
基板が固定されている電極あるいはその対電極のどちらかに高周波電力を供給することが上記の目的のいずれにも有用であることを記載しておく。
【0051】
図1〜8の装置例に関して、さらに、適合された層(11,31,41,51,61,71)が、有利に、(上記の「中央」配置を基礎として)電極-電極間距離についてガウス曲線の形を示す厚さを有することを記載しておく。具体的に言えば、前記の適合された層の断面形状は、水平に切り取られた、平たいつり鐘型曲線から導き出すことができ、この場合、とりわけ、該つり鐘型曲線の残った「頂点」が補正層の断面の形である。
【0052】
図9〜15には本発明による改善された、容量結合型の高周波−プラズマ反応装置の他の実施態様が示されている。
【0053】
図9には本発明の最も単純な実施態様が示されている。高周波電源9は、上側の電極3に中央で結合しており、この電極は、「シャワーヘッド電極(Duschkopf-Elektrode)」と呼ばれ、なぜなら該電極が穴83をプラズマプロセス空間13に向けられた下側の表面に有しているからであり、該電極は、反応装置10の内側の室81の中に存在している。対電極30は、室81の金属製の外壁によって決定される。反応ガスの供給装置は、記入されていない。該反応ガスの吸い出しは、排気管85によって行なわれる。
【0054】
反応装置10内の全ての機械的な(材料的な)構成要素が、図9に示されているとおり、平たいままである(特に電極および基板135)ことがわかる。しかしながら基板135(均一の厚さe7を有する)は、基板と対電極30の間に配置されているスペーサ材87の列の上に置かれることによって曲げられる。スペーサ材87は、種々の高さを有している。基板135は、その固有の柔軟性のために曲がる。支柱間の平均の距離は、基板の厚さおよび該基板のヤング率(弾性率)によって決定される。
【0055】
この組立ての場合には一定(均一)ではない厚さを有する2つの層が電極間の空間内に存在する:即ち、プラズマ−プロセス空間13自体および基板の背後の「補正間隙」89である。この例が単純な解決ではないにもかかわらず、このコンフィギュレーションは有効であり、それというのも、プラズマ内に局所的に発生した高周波電力が、プラズマプロセス空間13(方向電極3)の厚さe8の小さな相対的な変化に依存するよりも一層著しく基板の背後の薄い「ガス状」の容量性層(kapazitive Schicht)の小さな変化に依存するからである。
【0056】
適合された「補正」層89は、この場合には基板の背後に存在する。該層は、適合されたガス状の(または部分真空−)層であり、この場合、「真空」または「ガス状」の語の選択は、該層が誘電率1を有するという事実を強調するためにもっぱら利用される。該層は、ガスを含有していてもよい(この場合には真空の誘電率は関係ない)。
【0057】
支柱87が金属もしくは誘電性である場合には、該支柱によってプロセスの局所的な障害が惹起される危険がある。
【0058】
適合された「補正」層89の直列静電容量が存在しない支持平面では、実際、高周波磁界が局所的に大きくなる。該障害は、支持点を中心に一定の距離にわたって拡大する。この距離は、基板の厚さe7に、図9で13aおよび13bの符号がつけられた「プラズマシースの厚さ」(通常2〜4mm)を加えたものの範囲内である。
【0059】
図9aには、該障害を支持点許容できる程度に減少させる可能な方法が示されている。その解決策は、各スペーサ材89を小さな凹部91で囲むことである。この凹部によって容量結合が減少する。正確な補償に凹部を適合させることによって、局所的な障害は、事実上除去されるはずである。
【0060】
本発明に関してこの装置は、本発明に記載されている「補正」層が提案した断面形状に「平均して」従うことを次のとおり示している。即ち、容量結合が平均して数ミリメートルの範囲にわたって本質的に中断されかつ正規に適合されたままである限り、該断面形状内の著しく局所的な障害は、許容することができる。
【0061】
図9の装置の場合には基板135は、誘電性の構成要素である。このことは重要であり、それというのも、いずれもの適合された誘電層(89のように)が、「プロセス空間(Prozessluecke)」を定義する最も外側の対向する2つの金属層によって形成される空間の中にまさに存在していなければならないからである。基板が金属である場合には(導電性)、該基板によって、その下にある適合されたあらゆるコンダクタンスの作用が妨げられる。この場合には該基板は、上記の電極の1つとして考えなければならない。
【0062】
図10には装置産業に常用の設計が示されている。この反応装置20は、2つの異なる作用を有するエネルギー源即ちRF高周波電源(30MHz以上)およびRFバイアス電源93(15MHz以下)によって供給される。上側の「シャワーヘッド−」電極3が高周波電源91と結合しており、かつ、下側の電極45がバイアス電源93と結合している。
【0063】
上記電源の1つは、プラズマを発生させるために備えられている(この場合には、電源91による、きわめて高いRF周波数を想定している)。もう一方の電源93は、この場合には、基板35への特別のイオン衝撃(extra Ionenbombardement)を可能にするために補助として使用される。通常このような特別の入力電力(93)は、基板側の電極と結合し、かつ、13.56MHzで運転される。
【0064】
このようなRFバイアス装置は、しばしば反応性イオンエッチングの場合に使用される。該RFバイアス装置は、多くのプラズマタイプと結合して使用される(例えばマイクロ波または電子サイクロトロン共鳴)。
【0065】
図10の例の場合には2つの向かい合う電極が存在する。2つのいずれも事実上アースされていない。しかし、この特別な装置の場合でさえ本発明による適合された静電容量(不均一な厚さを有する層95)は適当である。図10の場合には図5の装置が含まれている。
【0066】
重要な特徴は、反応装置20の能動部分(aktive Teil)(プラズマプロセス空間13、基板35、不均一な厚さの、平板の誘電板21および不均一な厚さの適合されたガス状の間隙95)が2つの金属板(電極3,45)の間に存在することである。アースされているかいないかということ、1つもしくは複数のRF周波が供給されるのが一方の電極であるのか、もう一方の電極であるのか、あるいは両方の電極であるのかということは、重要ではない。最も重要なことは、2つの金属板3,45の間でRF電位差が広がることである。図10の例の場合には2つのRF周波が使用される。この図には2つのRF発生器のための2つの供給装置(上側および下側)が示されている。これは、必須ではない。これらRF周波は共通して、上から供給することもできるし、下から供給することもできる(上側の電極3または下側の電極45)。この場合に重要であるのは、2つの異なる周波数が、高い周波数と低い周波数であることである。2つの周波数が、容量性反応装置(kapazitiver Reaktor)の中を拡散する。
【0067】
高周波−不均一性を補償するために、適合された静電容量が、提案されているとおり、95のように導入される場合には、これは、「低周波」が不均一になりうる静電容量となる。さらに該「低周波の」波の振幅(Wellenamlitude)は、中央での特別な適合された静電容量のために、やや空洞化された電力プロフィール(ausgehoehltes elektrisches Leistungsprofil)を生じさせる。換言すれば、本発明の「適合概念」の適用は、局所的な「高周波」電力の均一性が「低周波−」電力の均一性よりもプロセスにとって重要である場合にのみ意味をもつ。
【0068】
図11の場合には適合された容量性層105は、セラミック中間層107と金属電極109の間のガスで充填された空間からなり、該容量性層は、次のように加工されたものであり、即ち該容量性層の平滑かつ適合された凹部(平たくない内側の(ineren)表面109aによる)がセラミック板107の裏側に対向している。該セラミック層107は、多くの小さな穴107aを有しており、これらの穴を反応ガスが通り、該反応ガスは、穴109bを通って裏側にある金属電極の中を流れる。該反応ガスは、外部のガス供給源113と結合している管111によって導入される(ポンプ装置は、記入されていない)。RF電源115は、電極109と、図示されているとおり、結合している。
【0069】
裏側の電極109の設計は、図10の電極3と同じく慣例的な「シャワーヘッド」であることができる。もう1つのオプションは、例えば図11に示されているカスケード化されたガス分布器としての設計である。
【0070】
図12には容量性マイクロ波プラズマ反応装置(kapazitiver Mikrowellen-Plasma-Reaktor)が略図として示されている。この図には可能なデザインが示されており、該デザインの場合には比較的厚く設計された、ここでは120で示された層構造(該層構造の厚さはe9で示されている)は、電磁的な拡散の顕著な不均一性を補償するために使用される。図示された反応装置40は、比較的小さなウェーハのエッチングのための反応装置である。マイクロ波は、同軸導波管(koaxialer Wellenleiter)121に由来するものであり、該導波管は、反射を回避するために、領域122では徐々に広がっている(「トランペット形」)。その後にマイクロ波は、プロセス領域13に達し、そこでは該波が反応装置(該反応装置は円筒形である)の中央に向かって収束する。
【0071】
寸法の記載は次のとおりである: 平板の対電極126の上に配置された基板35は、直径約10cmを有している。1GHzの波(自由真空波長(Vakuumwellenlaenge)30cm)がマイクロ波発生器123によって発生する。適合された層120の中央での厚さ(石英で製造された場合)は、自由プラズマ自体の空間13とほぼ同じ大きさである。
【0072】
ここでは、3つの段を確立する3つの誘電板から、適合された層120を構成することが提案されている(円板120a,120b,120c)。該段の不連続性は、プラズマによって平均化される。適合された層は、有利に著しく厚くかつ「レンズ」と称することができる。レンズを組み立てるための円板の数は、レンズの有利に平滑な形がより良好な近似で製造されなければならない場合には、4以上であることができる。
【0073】
上記の図12の場合には、反応ガスがガス取入れ口124を通して供給されるのを確認することができる。該ガスは、対電極126を貫通するスリット列(有利に放射状に方向づけられた)を通してポンピングされ、かつ、環状溝に達する。電極間の、反応室からの反応ガスの吸い出しのための排気装置は、記載されていない。
【0074】
図13の場合には反応装置50は、この場合には「補正」誘電層130の段の変化が厚さの変化に基づくものではなく、該層130を構成する材料の変化によって達成されており、その際、各構成要素の厚さが均一であることを除いて図12の反応装置40に同じである。換言すれば、層130は、厚さe10は同じで可変の誘電率を有する1つの層である。低い誘電率を有する層は、中央の板131であり、この板は、中程度の誘電率を有する第2の板132で同心に取り囲まれている。第3の外側の板133は、最大の誘電率を有している。
【0075】
したがって(図12の)最も厚い部分に相応する該適合された層130の部分は、最も少ない誘電性の材料(例えば石英)から製造され、その一方で中間層132は、材料例えば亜硝酸ケイ素からなることができ、縁部133の、最大の誘電率を有する材料は、この場合には酸化アルミニウムから製造される。
【0076】
図13の例によって、本発明による、単位面積あたりの静電容量が均一ではない誘電層が、該層の誘電率の変化によって得ることができ、それに対して表面に対するその厚さが均一のままであることが明らかに示されている。
【0077】
上記の説明および図14の表示(図1の実施態様に基づく)から、「補正」層、例えば140、の厚さが観察されるプロセスの不均一性を補償するために使用されるいずれの場合でも、「補正」層は、電極接点(9a)から最も遠く離れているプロセス空間(または対向する電極、例えば3)の位置で最も厚くなることが明らかである。「経路」(150で示されている)が前記の「距離」の計算のために付属の電極の外側面をたどらなければならないこと(例えば3a)が確認される。
【0078】
前記の厚さは、上記の「距離」が最小である相応の位置で最小であり、かつ、層の平たくない断面形状は、この減少する距離に従う。
【図面の簡単な説明】
【図1】 図1および2は、本発明により改善された反応装置の2つの概略的な図である。(図1は、線I−Iに沿った図2の断面である)。
【図2】 図1および2は、本発明により改善された反応装置の2つの概略的な図である。(図1は、線I−Iに沿った図2の断面である)。
【図3】 図3、4、5、6、7および8は、このような反応装置の内部のコンフィギュレーションの代替の実施態様を示している。
【図4】 図3、4、5、6、7および8は、このような反応装置の内部のコンフィギュレーションの代替の実施態様を示している。
【図5】 図3、4、5、6、7および8は、このような反応装置の内部のコンフィギュレーションの代替の実施態様を示している。
【図6】 図3、4、5、6、7および8は、このような反応装置の内部のコンフィギュレーションの代替の実施態様を示している。
【図7】 図3、4、5、6、7および8は、このような反応装置の内部のコンフィギュレーションの代替の実施態様を示している。
【図8】 図3、4、5、6、7および8は、このような反応装置の内部のコンフィギュレーションの代替の実施態様を示している。
【図9】 図9、10、11、12、および13は、本発明による特徴的なプロセスのさらなる概略的な実施態様を示している。
【図10】 図9、10、11、12、および13は、本発明による特徴的なプロセスのさらなる概略的な実施態様を示している。
【図11】 図9、10、11、12、および13は、本発明による特徴的なプロセスのさらなる概略的な実施態様を示している。
【図12】 図9、10、11、12、および13は、本発明による特徴的なプロセスのさらなる概略的な実施態様を示している。
【図13】 図9、10、11、12、および13は、本発明による特徴的なプロセスのさらなる概略的な実施態様を示している。
【図14】 図14は、厚さの変化に適用される“適合概念”、を説明している。

Claims (5)

  1. 容量結合型高周波プラズマ反応装置であって、
    基板支持表面を有する少なくとも1つの第1の電極と、
    前記第1の電極から距離を置く少なくとも1つの第2の電極とを含み、前記第2の電極は、前記基板支持表面に対向する凹面の表面を有し、前記反応装置はさらに、
    前記第1の電極と前記第2の電極との間を延在する内側のプロセスエリアと、
    前記プロセスエリアにプロセスガスを供給するためのガス吸入口と、
    ある接続位置で前記電極の少なくとも1つに接続され、プラズマ放電を前記プロセスエリアにおいて生成するための13.56MHzより大きい周波数用の少なくとも1つの高周波発生器と、
    プロセスガスを前記反応装置から排出するためのガス排出口と、
    ガス状の誘電層を規定するよう前記凹面の表面を有する第2の電極の側に亘って配される均一な厚さの平らな誘電板とを含み、
    前記基板支持表面は、前記プロセスエリアの1つの境界を規定し、プラズマ放電のプロセス工程に晒される少なくとも0.7mの最大寸法を有する少なくとも1つの基板を受入れるよう構成され、
    前記誘電板および前記ガス状の誘電層は、前記基板および前記プラズマ放電と電気的に直列に配され、前記基板の作業面の少なくとも1つの方向に沿って単位表面あたりの静電容量の値が均一ではない、所与の分布プロファイルを生成し、前記作業面に沿って不均一の態様でプロセスを補償するための誘電体構造を形成する、反応装置。
  2. 前記ガス状の誘電層は、前記反応装置が場所に依存した単位表面あたりの静電容量の値を前記作業面に沿って有するように、前記基板の前記作業面に垂直な方向に沿うとともに前記誘電層に沿って不均一である厚さを有する、請求項1に記載の反応装置。
  3. 前記ガス状の誘電層の厚さは、周辺に向かって次第に減少する、請求項2に記載の反応装置。
  4. 前記少なくとも1つの高周波発生器は、少なくとも1つの電極に中央で接続される、請求項1に記載の反応装置。
  5. 前記誘電板はセラミック材料から形成され、前記基板は誘電または金属材料から作られる、請求項1に記載の反応装置。
JP2001516221A 1999-08-10 2000-08-08 面積の大きな基板の処理のためのプラズマ反応装置 Expired - Fee Related JP4833469B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CH146699 1999-08-10
CH1466/99 1999-08-10
PCT/CH2000/000419 WO2001011658A1 (de) 1999-08-10 2000-08-08 Plasmareaktor zur behandlung von grossflächigen substraten

Publications (2)

Publication Number Publication Date
JP2003506889A JP2003506889A (ja) 2003-02-18
JP4833469B2 true JP4833469B2 (ja) 2011-12-07

Family

ID=4211146

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001516221A Expired - Fee Related JP4833469B2 (ja) 1999-08-10 2000-08-08 面積の大きな基板の処理のためのプラズマ反応装置

Country Status (10)

Country Link
US (4) US6228438B1 (ja)
EP (2) EP2075822A3 (ja)
JP (1) JP4833469B2 (ja)
KR (1) KR100792314B1 (ja)
AT (1) ATE430376T1 (ja)
DE (1) DE50015635D1 (ja)
ES (1) ES2324391T3 (ja)
HK (1) HK1046774B (ja)
TW (1) TW478296B (ja)
WO (1) WO2001011658A1 (ja)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
EP1193746B1 (en) * 1999-05-06 2009-12-09 Tokyo Electron Limited Apparatus for plasma processing
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
EP1162646A3 (en) * 2000-06-06 2004-10-13 Matsushita Electric Works, Ltd. Plasma treatment apparatus and method
DE10134513A1 (de) 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
US20040009667A1 (en) * 2002-02-07 2004-01-15 Etsuo Iijima Etching method
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP5317992B2 (ja) * 2003-02-03 2013-10-16 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US7595096B2 (en) 2003-07-30 2009-09-29 Oc Oerlikon Balzers Ag Method of manufacturing vacuum plasma treated workpieces
ATE364897T1 (de) * 2003-09-10 2007-07-15 Oc Oerlikon Balzers Ag Spannungsungleichförmigkeits- kompensationsverfahren für einen hochfrequenz- plasmareaktor zur behandlung rechteckiger grossflächiger substrate
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
CH706979B1 (en) * 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
EP1689216A1 (en) * 2005-02-04 2006-08-09 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Atmospheric-pressure plasma jet
US7560144B2 (en) * 2005-03-22 2009-07-14 Asm Japan K.K. Method of stabilizing film quality of low-dielectric constant film
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US7525787B2 (en) * 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US20070215284A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma processing apparatus and electrode assembly for plasma processing apparatus
US8008596B2 (en) * 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
JP4863743B2 (ja) * 2006-03-24 2012-01-25 日本碍子株式会社 プラズマ発生電極、プラズマ反応器及び排ガス浄化装置
DE102006028977B4 (de) * 2006-06-23 2012-04-12 Qimonda Ag Sputterdepositions-Vorrichtung
JP5125024B2 (ja) * 2006-08-10 2013-01-23 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US20080041312A1 (en) * 2006-08-10 2008-02-21 Shoichiro Matsuyama Stage for plasma processing apparatus, and plasma processing apparatus
US8741098B2 (en) * 2006-08-10 2014-06-03 Tokyo Electron Limited Table for use in plasma processing system and plasma processing system
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7959735B2 (en) * 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7935393B2 (en) * 2007-08-07 2011-05-03 Tokyo Electron Limited Method and system for improving sidewall coverage in a deposition system
US8689734B2 (en) * 2007-10-01 2014-04-08 Tel Solar Ag Deposition of active films
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP2009123929A (ja) * 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US7674723B2 (en) * 2008-02-06 2010-03-09 Applied Materials, Inc. Plasma immersion ion implantation using an electrode with edge-effect suppression by a downwardly curving edge
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
EP2283510B1 (en) * 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
EP2332163A1 (en) * 2008-10-01 2011-06-15 Oerlikon Solar AG, Trübbach Radiofrequency plasma reactor and method for manufacturing vacuum process treated substrates
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US10896842B2 (en) * 2009-10-20 2021-01-19 Tokyo Electron Limited Manufacturing method of sample table
JP5628507B2 (ja) * 2009-10-20 2014-11-19 東京エレクトロン株式会社 試料台及びマイクロ波プラズマ処理装置
DE102009044496B4 (de) * 2009-11-11 2023-11-02 Muegge Gmbh Vorrichtung zur Erzeugung von Plasma mittels Mikrowellen
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5674328B2 (ja) * 2010-03-16 2015-02-25 東京エレクトロン株式会社 電極及びプラズマ処理装置
KR101157204B1 (ko) * 2010-04-20 2012-06-20 주식회사 테라세미콘 플라즈마 처리 장치
JP5927619B2 (ja) 2010-05-06 2016-06-01 エヴァテック・アクチェンゲゼルシャフトEvatec Ag プラズマリアクタ
JP5809396B2 (ja) 2010-06-24 2015-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP5597456B2 (ja) * 2010-06-29 2014-10-01 東京エレクトロン株式会社 誘電体の厚さ設定方法、及び電極に設けられた誘電体を備える基板処理装置
TWI405867B (zh) * 2010-07-16 2013-08-21 Asiatree Technology Co Ltd 薄膜沈積裝置
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
KR101196422B1 (ko) * 2011-02-22 2012-11-01 엘아이지에이디피 주식회사 플라즈마 처리장치
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN102306602A (zh) * 2011-09-26 2012-01-04 中国科学院微电子研究所 一种电极固定结构
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
JP6012995B2 (ja) * 2012-03-27 2016-10-25 芝浦メカトロニクス株式会社 プラズマ処理装置およびプラズマ処理方法
US20130333616A1 (en) 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
US20130340941A1 (en) * 2012-06-20 2013-12-26 Tel Solar Ag Lens offset
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
CN102851653A (zh) * 2012-09-10 2013-01-02 福建铂阳精工设备有限公司 大面积薄膜沉积设备
JP6207880B2 (ja) * 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US20140138030A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US10593521B2 (en) * 2013-03-12 2020-03-17 Applied Materials, Inc. Substrate support for plasma etch operations
US9355821B2 (en) * 2013-06-19 2016-05-31 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan Large-area plasma generating apparatus
JP2015170828A (ja) 2014-03-11 2015-09-28 富士フイルム株式会社 プラズマエッチング方法およびパターン化基板の製造方法
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
JP5814430B2 (ja) * 2014-06-16 2015-11-17 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置用電極
JP2017045714A (ja) * 2015-08-28 2017-03-02 東洋製罐グループホールディングス株式会社 高周波誘電加熱方法
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6611750B2 (ja) * 2017-03-30 2019-11-27 富士フイルム株式会社 プラズマ生成装置
EP3399545B1 (en) 2017-05-04 2021-09-29 Meyer Burger (Germany) GmbH Substrate treatment system
TWI733021B (zh) * 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
CN110402481B (zh) * 2017-10-17 2023-07-21 株式会社爱发科 被处理体的处理装置
KR102456063B1 (ko) * 2017-12-15 2022-10-19 어플라이드 머티어리얼스, 인코포레이티드 수직 플라즈마 소스로부터의 개선된 플라즈마 노출을 위한 성형된 전극들
US11410867B2 (en) * 2018-07-30 2022-08-09 Toto Ltd. Electrostatic chuck
CN109246919B (zh) * 2018-10-24 2023-09-12 江苏菲沃泰纳米科技股份有限公司 一种可变形电极及其应用设备、使用方法
JP7125058B2 (ja) * 2018-12-06 2022-08-24 東京エレクトロン株式会社 プラズマ処理装置、及び、プラズマ処理方法
JP7141061B2 (ja) * 2018-12-06 2022-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7308498B2 (ja) * 2018-12-06 2023-07-14 東京エレクトロン株式会社 プラズマ処理装置、及び、プラズマ処理方法
JP2020092033A (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置
US11929234B2 (en) 2018-12-06 2024-03-12 Tokyo Electron Limited Plasma processing apparatus and lower stage
KR20220086013A (ko) 2020-12-16 2022-06-23 삼성전자주식회사 플라즈마 프로세싱을 위한 정전 척을 포함하는 반도체 공정 설비
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59205719A (ja) * 1983-05-09 1984-11-21 Matsushita Electric Ind Co Ltd ドライエツチング装置
JPS6015147B2 (ja) * 1978-01-23 1985-04-17 ウエスタ−ン エレクトリツク カムパニ− インコ−ポレ−テツド 表裏両外面を有する基板ウェファの保持および平面化方法
JPS62193139A (ja) * 1986-02-19 1987-08-25 Canon Inc ボ−ル接触型ウエハチヤツク
JPH0314228A (ja) * 1989-06-13 1991-01-22 Nec Corp プラズマ処理装置
JPH0758083A (ja) * 1993-08-18 1995-03-03 Toshiba Corp 半導体製造装置
JPH08186094A (ja) * 1994-12-28 1996-07-16 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH09312268A (ja) * 1996-05-23 1997-12-02 Sharp Corp プラズマ励起化学蒸着装置及びプラズマエッチング装置
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1544172A (en) 1976-03-03 1979-04-11 Int Plasma Corp Gas plasma reactor and process
US4312575A (en) * 1979-09-18 1982-01-26 Peyman Gholam A Soft corneal contact lens with tightly cross-linked polymer coating and method of making same
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4425210A (en) 1980-11-04 1984-01-10 Fazlin Fazal A Plasma desmearing apparatus and method
US4400235A (en) * 1982-03-25 1983-08-23 Bell Telephone Laboratories, Incorporated Etching apparatus and method
JPH0616384B2 (ja) * 1984-06-11 1994-03-02 日本電信電話株式会社 マイクロ波イオン源
JPS61238981A (ja) * 1985-04-16 1986-10-24 Ulvac Corp 高周波エツチングの均一化方法
EP0280074B1 (en) 1987-02-24 1995-12-20 International Business Machines Corporation Plasma reactor
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
JP3063769B2 (ja) * 1990-07-17 2000-07-12 イーシー化学株式会社 大気圧プラズマ表面処理法
TW221318B (ja) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
GB2250036B (en) * 1990-10-26 1994-07-06 Matsushita Electric Works Ltd Timber surface improving treatment process
JPH04276067A (ja) * 1991-03-01 1992-10-01 Nippon Telegr & Teleph Corp <Ntt> 金属プラズマ源
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5234526A (en) 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
DE4126216B4 (de) * 1991-08-08 2004-03-11 Unaxis Deutschland Holding Gmbh Vorrichtung für Dünnschichtverfahren zur Behandlung großflächiger Substrate
US5236636A (en) * 1991-10-07 1993-08-17 Ford Motor Company In-mold plasma treatment
US5292394A (en) * 1991-11-13 1994-03-08 Leybold Aktiengesellschaft Apparatus for large-area ionic etching
GB2261396B (en) 1991-11-13 1995-02-08 Leybold Ag A device for large-area ion etching
JP2758755B2 (ja) * 1991-12-11 1998-05-28 松下電器産業株式会社 ドライエッチング装置及び方法
NL194848C (nl) * 1992-06-01 2003-04-03 Samsung Electronics Co Ltd Vloeibaar-kristalindicatorinrichting.
US5306985A (en) 1992-07-17 1994-04-26 Sematech, Inc. ECR apparatus with magnetic coil for plasma refractive index control
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
JP3219546B2 (ja) * 1993-06-17 2001-10-15 三菱化学株式会社 熱可塑性樹脂組成物
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
JPH08130207A (ja) * 1994-10-31 1996-05-21 Matsushita Electric Ind Co Ltd プラズマ処理装置
US5589737A (en) * 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
JPH08250488A (ja) * 1995-01-13 1996-09-27 Seiko Epson Corp プラズマ処理装置及びその方法
JP3220619B2 (ja) * 1995-05-24 2001-10-22 松下電器産業株式会社 ガス伝熱プラズマ処理装置
WO1997013266A2 (en) * 1995-06-19 1997-04-10 The University Of Tennessee Research Corporation Discharge methods and electrodes for generating plasmas at one atmosphere of pressure, and materials treated therewith
US5653812A (en) * 1995-09-26 1997-08-05 Monsanto Company Method and apparatus for deposition of diamond-like carbon coatings on drills
JP3238082B2 (ja) * 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
KR100279963B1 (ko) * 1997-12-30 2001-04-02 윤종용 반도체소자제조용가스디퓨져및이를설치한반응로
JP4130255B2 (ja) * 1998-04-08 2008-08-06 キヤノンアネルバ株式会社 プラズマ処理装置
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6015147B2 (ja) * 1978-01-23 1985-04-17 ウエスタ−ン エレクトリツク カムパニ− インコ−ポレ−テツド 表裏両外面を有する基板ウェファの保持および平面化方法
JPS59205719A (ja) * 1983-05-09 1984-11-21 Matsushita Electric Ind Co Ltd ドライエツチング装置
JPS62193139A (ja) * 1986-02-19 1987-08-25 Canon Inc ボ−ル接触型ウエハチヤツク
JPH0314228A (ja) * 1989-06-13 1991-01-22 Nec Corp プラズマ処理装置
JPH0758083A (ja) * 1993-08-18 1995-03-03 Toshiba Corp 半導体製造装置
JPH08186094A (ja) * 1994-12-28 1996-07-16 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH09312268A (ja) * 1996-05-23 1997-12-02 Sharp Corp プラズマ励起化学蒸着装置及びプラズマエッチング装置
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur

Also Published As

Publication number Publication date
WO2001011658A1 (de) 2001-02-15
HK1046774A1 (en) 2003-01-24
HK1046774B (zh) 2009-08-28
EP1208583B1 (de) 2009-04-29
ES2324391T3 (es) 2009-08-06
US7661388B2 (en) 2010-02-16
KR20020029741A (ko) 2002-04-19
EP2075822A3 (de) 2009-08-05
EP2075822A2 (de) 2009-07-01
EP1208583A1 (de) 2002-05-29
US20010023742A1 (en) 2001-09-27
JP2003506889A (ja) 2003-02-18
DE50015635D1 (en) 2009-06-10
US20070283888A1 (en) 2007-12-13
US6228438B1 (en) 2001-05-08
US7784426B2 (en) 2010-08-31
KR100792314B1 (ko) 2008-01-07
US20080184934A1 (en) 2008-08-07
TW478296B (en) 2002-03-01
ATE430376T1 (de) 2009-05-15

Similar Documents

Publication Publication Date Title
JP4833469B2 (ja) 面積の大きな基板の処理のためのプラズマ反応装置
KR100900595B1 (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
US9275840B2 (en) Method for providing uniform distribution of plasma density in a plasma treatment apparatus
US9484190B2 (en) Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
KR100552645B1 (ko) 플라즈마 처리장치
US5647912A (en) Plasma processing apparatus
US20090314432A1 (en) Baffle plate and substrate processing apparatus
JP4130255B2 (ja) プラズマ処理装置
US20030168436A1 (en) Microwave plasma processing device, plasma processing method, and microwave radiating member
KR20020041340A (ko) 에칭율의 균일성을 개선하기 위한 기술
JP2002246368A (ja) ウェハー表面径方向均一プラズマを用いるウェハー処理システム
JP2012174682A (ja) プラズマ処理装置
KR20010098899A (ko) 플라즈마처리장치 및 플라즈마처리방법
CN112534552B (zh) 等离子处理装置
CN110349830B (zh) 等离子体系统以及应用于等离子体系统的过滤装置
US20140224426A1 (en) Substrate support unit and plasma etching apparatus having the same
JP3748230B2 (ja) プラズマエッチング装置及びシャワープレート
US10600622B2 (en) Focus ring with uneven pattern and plasma-processing apparatus including the same
JPH1140398A (ja) プラズマ生成装置
JP2015231050A (ja) 上部誘電石英板及びスロットアンテナの基本概念
JP2000073175A (ja) 表面処理装置
WO2018110013A1 (ja) シャワーヘッド及び真空処理装置
JPH06216078A (ja) ウェハの容量結合放電処理装置および方法
JP7117734B2 (ja) プラズマ処理装置及びプラズマ処理方法
US6432730B2 (en) Plasma processing method and apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070803

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100611

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100921

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101021

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110405

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20110422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110804

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110913

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110922

R150 Certificate of patent or registration of utility model

Ref document number: 4833469

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140930

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees