JP4832643B2 - 現場での化学発生装置及び方法 - Google Patents

現場での化学発生装置及び方法 Download PDF

Info

Publication number
JP4832643B2
JP4832643B2 JP2000592468A JP2000592468A JP4832643B2 JP 4832643 B2 JP4832643 B2 JP 4832643B2 JP 2000592468 A JP2000592468 A JP 2000592468A JP 2000592468 A JP2000592468 A JP 2000592468A JP 4832643 B2 JP4832643 B2 JP 4832643B2
Authority
JP
Japan
Prior art keywords
free radicals
plasma
chemical species
reaction chamber
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000592468A
Other languages
English (en)
Other versions
JP2002534787A (ja
Inventor
ロニイ バー−ガッダ
Original Assignee
バーグ アンド バーグ エンタープライジズ リミテッド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーグ アンド バーグ エンタープライジズ リミテッド ライアビリティ カンパニー filed Critical バーグ アンド バーグ エンタープライジズ リミテッド ライアビリティ カンパニー
Publication of JP2002534787A publication Critical patent/JP2002534787A/ja
Application granted granted Critical
Publication of JP4832643B2 publication Critical patent/JP4832643B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B17/00Sulfur; Compounds thereof
    • C01B17/69Sulfur trioxide; Sulfuric acid
    • C01B17/74Preparation
    • C01B17/76Preparation by contact processes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/20Nitrogen oxides; Oxyacids of nitrogen; Salts thereof
    • C01B21/24Nitric oxide (NO)
    • C01B21/26Preparation by catalytic or non-catalytic oxidation of ammonia
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/20Nitrogen oxides; Oxyacids of nitrogen; Salts thereof
    • C01B21/24Nitric oxide (NO)
    • C01B21/30Preparation by oxidation of nitrogen
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/02Silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/01Chlorine; Hydrogen chloride
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/19Fluorine; Hydrogen fluoride
    • C01B7/191Hydrogen fluoride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Materials For Medical Uses (AREA)
  • Inorganic Fibers (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
本発明は、一般的に、半導体デバイスの製作に関し、更に詳細には、多様な材料及び層の析出、エッチング、クリーニング及び成長での重要な化学種を生成するための方法と装置に関する。
一般的に、本発明の目的は、使用されるべき場所で又はその場所の近くで、新しい、改善された化学発生装置及び化学種を生成する方法を提供することである。
本発明の別の目的は、半導体デバイスの作製に使用する化学種を生成するのに特に適切な、上記特徴の化学発生装置及び方法を提供することである。
これら及びその他の目的は、半導体ウェファーのような加工物が加工処理されるべき反応器のチャンバーのような使用点で化学種を生成する化学発生装置及び方法を提供することによって、本発明に従って達成される。
【0002】
図1は、本発明を具体化した、現場での化学発生装置の一態様の概略図である。
図2は、図1の線2−2に沿って取られた、拡大された断面図である。
図1に説明されるように、化学発生装置は、遊離基を形成し、安定な種に再結合するために遊離基が運ばれる1以上のチャンバーを有する遊離基供給源11を含む。説明された態様において、供給源は、伸長され、かつ同心のチューブ12−14によって形成された3つのチャンバーを有する。それらのチャンバーは、最も外側のチューブ12と真中のチューブ13との間の第1環状チャンバー16と、真中のチューブ13と最も内側のチューブ14との間の第2環状チャンバー17と、最も内側のチューブの内部の第3チャンバー18とを含む。チューブは、セラミック、石英又は金属のような材料で製作される。
発生装置に求められるチューブの数は、生成される化学種及び形成される反応に依存し、通常分れたチャンバーを有するが、必然的ではなく、その工程に使用されるべき遊離基の各タイプに対して提供される。
遊離基が形成される気体又は他の前駆物質化合物は、供給源21−23から又は他の適切な手段によってチャンバーの中へ導入される。このような前駆物質は、気体、液体及び/又は固体の形、又はこれらの組合せで可能である。
プラズマは、遊離基を形成するチャンバーで形成され、説明された態様において、プラズマを生成する手段は、チューブの回りに同心に配置された誘導コイル26、整合ネットワーク28によってコイルに接続された高周波(RF)力発生装置27、及びプラズマを点火するアークをたたくためのテスラ(Tesla)コイル29を含む。しかしながら、プラズマは、RF電極又はマイクロ波のような、その他の適切な手段によって形成されることもできる。
チューブの下流で、遊離基は、所望の種を形成するために再結合される。説明された態様において、再結合は、半導体ウェファー33が加工されている反応器32の一部であるチャンバー31で起こる。再結合は、例えば、冷却36及び/又は触媒37の使用による、適切な方法によって促進され得る。
冷却は、多くの方法で達成され、例えば、チューブを通る不活性ガス、液体窒素、液体ヘリウムや冷却水のような冷却剤の循環又は反応気体との熱交換関係における他の適切な手段を含む。また、冷却は、気体の温度を低くする伸長ノズルに気体を通すことにより、又は収束して、続いてプラズマを広げて温度を低くする永久磁石又は電磁石のいずれかを使用することによって、達成できる。
触媒は、冷却領域又はその下流のどちらかに配置され得る。例えば、反応気体が通るチャンバー又はチューブの壁に置かれた薄いフィルム、気体の流れに置かれたガーゼ、又は包まれたベッドの形態で可能である。重要なことは、触媒は、気体のすべてが触媒の表面に接触して、触媒と反応できるような方法で、位置を定められることである。
【0003】
もし望むのであれば、光学発光分光計のようなモニタリング装置を、種のプロフィール及び蒸気の発生のようなモニタリングパラメータに提供できる。
説明された態様において、化学発生器は、反応器の一部であり、製造される種は、加工されるウェファーに極めて接近して形成される。それは、発生装置の好ましい適用であるが、また、独立型の適用にも使用され得る。新しい反応器の肝要な部分として又は独立型のシステムとして構成されるのはもちろん、現存する加工反応器に加えることができる。
発生装置は、半導体デバイスの製造に使用する異なる種の生成における広範な多様の適用に使用されることができ、幾つかの例が以下に与えられる。
【0004】
酸化
以下の反応
Si+H2O→SiO2+H2
に従ってSiO2を生成する湿式酸化工程で使用する蒸気を、プラズマ生成チャンバーのひとつにH2及びO2を入れることによって、本発明に従って生成できる。プラズマをたたくと、H2及びO2が反応して、シリコンウェファーに極めて接近して蒸気を形成する。もし望むのであれば、単独で又はN2及び/又はArと一緒にいれた酸素を、酸化の温度を低くすること及び/又はデバイスの特徴を改善するためにオゾン(O3)を生成するのに使用できる。
硼素に対するバリアとして機能する、シリコンとシリコン酸化物との間の界面の改善によって、O2でのシリコンの酸化におけるNOの使用がトランジスタのデバイスの特徴を改善できることが知られる。因襲的に、NOを、シリンダーのような供給源から反応器チャンバーに供給し、またNOは毒なので、反応器に供給源を接続するガスラインの漏れを避けるための特別な予防措置を取るべきである。また、NOガスの純度は、シリコンとシリコン酸化物との間に形成される界面の最終品質に重要な要素であるが、極度の純粋なNOを製造することは難しい。
【0005】
本発明で、チャンバーの1つにN2及びO2を入れて、プラズマをたたくことによって、以下の反応
2+O2→2NO
を通る使用点で、高純粋NOを作ることができる。プラズマをたたくと、N2及びO2が化合して、ウェファーに極めて接近してNOを形成する。従って、NOは、必要なときにだけ生成することができ、使用点に正し、それによって、高くて潜在的に有害なガスラインの必要を除去できる。
また、N2Oのような、窒素と酸素のみを含む分子の分解のような他の反応によって、NOを生成することができる。単独で又はO2と一緒にNO2をプラズマチャンバーに入れることによって、NOを生成する。もし望むのであれば、Arのようなガスを、プラズマの促進形成のためにキャリアガスとして使用できる。
【0006】
2Oに少量のO2を添加してNO2を形成することによって、N2Oを分解でき、次にNOとO2に分離する。温度がNO2をNOとO2とに完全に分離する温度(620℃)よりも高い迅速熱加工チャンバー及び拡散炉において、NO2の添加は、窒素が硼素拡散のバリアとして補助することが見出されたゲート適用におけるシリコンの酸化を補助するであろう。650℃よりも低い温度で、NOとO2へのNO2の転換を促進するのに触媒を使用できる。もし望むのであれば、水蒸気又は適当な割合で追加のH2とO2とを添加することによって、硝酸を生成できる。
同様に、NH3及びO2を、プラズマチャンバーで化合することができ、以下の反応
NH3+O2→NO+H2
を通して使用点でNOと蒸気とを生成できる。
これらの2つの試薬ガスを使用することによって、湿式酸化工程におけるNOの効力を真似ることができる。
【0007】
酸化工程で塩素を含むことがしばしば望まれるが、好ましくない外来性の汚染物質を除くだけでなく、酸化を高めることが分っているからである。TCAやDCEのような塩素供給源の使用で、O2の存在下で完全な燃焼を成し遂げることができ、HCl+H2O+CO2を生じる。また、H2及びO2と一緒に塩素だけを使用することにより、HCl及びH2Oを生じる。TCA又はDCEを酸化工程で使用する場合、700℃よりも高い温度で完全に酸化されて、以下のような反応でHCl及び二酸化炭素を形成する。
23Cl3+2O2→2CO2+3HCl
22Cl2+2O2→2CO2+2HCl
HClは、更に、平衡反応で酸化される。
4HCl+O2→2H2O+Cl2
【0008】
上昇した温度における酸素での様々な有機塩化物の分解は、例えばシリコン加工における、その後の反応のための塩素と酸素とを含む試薬を提供する。このような分解は、一般的に以下の形式
xyCly+xO2→xCO2+yHCl
であり、ここで、x及びyは、典型的に2、3又は4である。
前述の反応のすべてを、大気中又は大気より低いいずれかの条件下で実施し、また生成物を、白金のような触媒で、または触媒無しで生成することができる。本発明は、また、炉の石英チューブのクリーニングや、石英又はシリコン酸化物層からの窒化物又はポリシリコンフィルムの選択的エッチング又は剥離に使用できる。これは、フレオンガス又は液のようなフッ素及塩素を含む反応物、即ち、CxyzClqを入れることによって、成し遂げられ、ここで、
x=1、2、・・・・
y=0、1、・・・・
z=0、1、・・・・
q=0、1、・・・・
であり、フッ素の量は、塩素の量と同じかそれよりも多い。また、窒化物又はポリシリコン層の効果的な剥離を提供する割合で、フッ化ガス(例えば、CHF3、CF4、など)と塩素化液体(例えば、CHCl3、CCl4、など)との混合物を使用することができる。
【0009】
誘電性フィルム
他の誘電性フィルムを、適切な前駆物質ガスから形成できる。SiH4及びH2、又はシラン単独を使用して、ポリシリコンを形成できる。シランを、核形成及び粒子形成を避けるために、発生装置の下流に導入してもよい。
シラン(SiH4)と一緒のNH3又はN2、又はSi26のようなより高いシランの1つを使用することによって、シリコン窒化物を形成できる。シランを、核形成及び粒子形成を避けるために、発生装置の下流に導入することができる。
ガスに加えて、化学発生装置は、出発材料として液体及び固体の使用も可能であり、TEOSのような前駆物質を、相似被覆の形成に使用できる。オゾン及びTEOSは、均一な層の析出に対する有効な混合物であることが見出されている。
【0010】
金属及び金属酸化物フィルム
金属及び金属酸化物フィルムを、本発明による様々な前駆物質を経て、析出できる。例えば、記憶装置に広範囲に使用されるTa25フィルムを、TaCl5の還元を経て、続いて、TaCl5の酸化によってTa25を形成する、TaCl5のような前駆物質を生成することによって形成できる。より一般的な理解において、Ta25が生成される前駆物質を、TaXmとして表すことができ、ここで、Xは、ハロゲン種であり、mは、化学量数である。
以下の反応
CuCl2+H2→Cu+HCl
を通して、フィルム又は酸化物として銅を析出でき、また他の金属を、同じ方法で形成できる。ガス状の前駆物質の代わりに、銅や別の金属のような固体の前駆物質も使用できる。
【0011】
ウェファー及びチャンバーのクリーニング
本発明で、前の工程段階からの有機残留物を、有機汚染物質の除去にかなり効果的なオゾンを形成するためのO2を使用することによって効果的に除去できる。加えて、H2を過剰なO2と反応させることによって、他の酸素遊離基、有機残留物の排除に効果的な遊離基のすべてと同様、蒸気とO2とを生成する。チャンバーの温度は、クリーニング工程の間、酸化物の形成を防ぐために、ウェファーが存在するならば約700℃よりも低くすべきである。
また、一般的なウェファークリーニングに使用する硫酸、硝酸及びフッ化水素酸を、本発明で効果的に生成する。硫酸(H2SO)を、以下のような反応に従って、S、SO又はSO2のいずれかを、H2及びO2と反応させることによって生成する。
S+2.5O2+2H2→H2SO4+H2
SO+1.5O2+H2→H2SO4
SO2+1.5O2+2H2→H2SO4+H2
次に、このように触媒あり又は無しで形成される遊離基を迅速に冷却する。
NH3をH2及びO2と反応させることによって又は以下のような反応によって、硝酸(HNO3)を生成する。
2+3.5O2+H2→2HNO3+H2
NH3+2O2→2HNO3+H2
2及びO2を、NF3又はCxyzのようなフッ素を含む化合物と共反応させることによってフッ化水素酸を生成し、ここで、
x=1、2、・・・・
y=0、1、・・・・
z=1、2、・・・・
である。
以下のような反応によって、一つの前駆物質から混酸を生成できる。
SF6+4H2+2O2→H2SO4+6HF
NH2+H2+1.5O2→HNO3+HF
2NHF+H2+3O2→2HNO3+2HF
NF3O+2H2+O2→HNO3+3HF
NF2Cl+2H2+1.5O2→HNO3+2HF+HCl
24+3H2+3O2→2HNO3+4HF
24+2H2+3O2→2HNO3+2HF
NF3+2H2+1.5O2→HNO3+3HF
NF2+1.5H2+1.5O2→HNO3+2HF
NF+H2+1.5O2→HNO3+HF
NS+1.5H2+3.5O2→HNO3+H2SO4
2N2OF+2H2+O2→2HNO3+2HF
NOF3+2H2+O2→HNO3+3HF
NOF+H2+O2→HNO3+HF
NOCl+H2+O2→HNO3+HCl
NOBr+H2+O2→HNO3+HBr
NO2Cl+2H2+O2→2HNO3+HCl
210+7H2+4O2→H2SO4+10HF
22+3H2+4O2→H2SO4+2HF
SF+1.5H2+2O2→H2SO4+HF
SF2+2H2+2O2→H2SO4+2HF
SF3+2.5H2+2O2→H2SO4+3HF
SF4+3H2+2O2→H2SO4+4HF
SF5+3.5H2+2O2→H2SO4+5HF
SF6+4H2+2O2→H2SO4+6HF
SBrF5+4H2+2O2→H2SO4+5HF+HBr
2Br2+3H2+4O2→2H2SO4+2HBr
SBr2+2H2+2O2→H2SO4+2HBr
SO22+2H2+O2→H2SO4+2HF
SOF4+3H2+1.5O2→H2SO4+4HF
SOF2+2H2+1.5O2→H2SO4+2HF
SOF+1.5H2+1.5O2→H2SO4+HF
SO2ClF+2H2+O2→H2SO4+HF+HCl
SOCl2+2H2+1.5O2→H2SO4+2HCl
SOCl+1.5H2+1.5O2→H2SO4+HCl
SOBr2+2H2+1.5O2→H2SO4+2HBrCl
SF2Cl+2.5H2+2O2→H2SO4+2HF+HCl
SClF5+4H2+2O2→H2SO4+5HF+HCl
SO2Cl2+2H2+O2→H2SO4+2HCl
2Cl+2.5H2+4O2→2H2SO4+HCl
SCl2+2H2+2O2→H2SO4+2HCl
これらは、本発明に従って生成され得る混酸による多くの反応の幾つかの例にすぎない。反応においてより多くのH2及びO2を含むことによって、酸の混合物に加えて、生成すべき蒸気ができる。
HCl、HF、H2SO4又はHNO3の反応の様々な合成された生成物を脱蔵(devolitize)するため、H2O又はH2のいずれか及びO2を同時注入して蒸気を形成することができるので、水の溶媒和の作用は、生成物中の溶液に分散する。水の温度は、水の薄膜をウェファー表面で濃縮するのに十分に冷たくしなければならない。水の温度を上げることによって、水溶液を蒸発させ、ウェファーを回転することによって、更に、除去工程を補助するだろう。
【0012】
本来の酸化物の除去
シリコンウェファーが大気にさらされている場合に存在する本来の酸化物を、HFと、NF3又はCF4のようなフッ素供給源を試薬ガスH2及びO2に添加することによって形成される蒸気との化合によって選択的に排除できる。最も効果的である本来の酸化物の排除のために、反応チャンバーを1気圧よりも低い圧力に維持すべきである。
【0013】
フォトレジストの剥離
また、H2及びO2を反応させて、集積回路の製造においてシリコンウェファーのパターニングに一般的に使用されるフォトレジストの剥離に使用される蒸気を形成することができる。加えて、本発明で生成もされる、HF、H2SO4及びHNO3のような他の成分を、蒸気との化合を変えるのに使用でき、ウェファー表面からフォトレジストを効果的に除去できる。また、ビアスにおける(in Vias)残留物と同様、固く植え付けられたフォトレジストを、これらの酸と化合した蒸気で除去することができる。
有機フォトレジストの剥離に使用するSO3を、O2をSO2に添加することによって生成できる。同様に、上で論じたように、N2Oを、NO2、即ちフォトレジストの剥離に使用することもできる強酸化剤に転換することができる。
フォトレジストの剥離に使用するフッ化水素酸を、以下の反応のいずれかに従って、現場で生成することができる。
CF4+2H2+O2→CO2+4HF
CF4+1.5O2+3H2→CO2+4HF+H2
NF3+O2+5H2→N2+6HF+2H2
【0014】
新しく、改良された化学発生装置及び方法が提供されることは、前述のことから明らかである。一定の現在の好ましい態様だけが詳細に述べられている一方、当業者に明らかであり、前述のクレームによって規定される本発明の範囲から外れることなく、一定の変更及び変形をすることができる。

Claims (25)

  1. 反応チャンバーで半導体ウェファーを加工するのに使用する所定の化学種を生成させる装置において、化学発生装置における、複数の前駆物質から形成される遊離基供給源であって、同心のチューブから形成されるプラズマチャンバーを含み、該プラズマチャンバーのそれぞれは、前記複数の前駆物質のそれぞれに対応し、反応チャンバー及びその中の半導体ウェハーから独立している供給源、及び前記供給源からの遊離基を化合して前記ウェファーに極めて接近して前記反応チャンバーで所定の化学種を形成する手段であって、前記遊離基を化合する手段が触媒及び/又は冷却であることを特徴とし、前記遊離基供給源が、更に、最も外側のチューブの回りに同心に配置された誘導コイルと、該コイルにRF力を適用するための手段とを含むことを特徴とする装置。
  2. 所定の化学種を加工物が前記種で加工されるべき反応チャンバーで生成させる装置において、化学発生装置中の複数の前駆物質からの遊離基供給源であって、同心のチューブから形成されるプラズマチャンバーを含み、該プラズマチャンバーのそれぞれは、前記複数の前駆物質のそれぞれに対応し、該反応チャンバーから独立している供給源、及び前記反応チャンバーで供給源からの遊離基を化合して所定の化学種を形成する手段であって、前記遊離基を化合する手段が触媒及び/又は冷却であることを特徴とし、前記遊離基供給源が、更に、最も外側のチューブの回りに同心に配置された誘導コイルと、該コイルにRF力を適用するための手段とを含むことを特徴とする装置。
  3. 前記チューブが、第1プラズマチャンバーが形成される第1チューブと、該第1チューブを取り巻く第2チューブと、第1と第2のチューブとの間に形成された第2プラズマチャンバーと、第2チューブを取り巻く第3チューブと、第2及び第3チューブとの間に形成された第3プラズマチャンバーとを含む、請求項1又は2記載の装置。
  4. 反応チャンバーで半導体ウェファーを加工するのに使用する所定の化学種を生成する方法において、複数の前駆物質のそれぞれを遊離基供給源中の同心のチューブから形成されるプラズマチャンバーのそれぞれに対応して導入する工程であって、該供給源が、該反応チャンバー及びその中の半導体ウェファーから独立している工程、該プラズマチャンバー中でイオン化ガスプラズマを形成して該前駆物質から遊離基を作る工程、及び前記遊離基を化合して、前記反応チャンバー内で前記ウェファーに極めて接近して、所定の化学種を形成する工程であって、該前駆物質を選択して所定の化学種を形成するのに必要な全ての遊離基を製造する工程を特徴とし、前記プラズマが、最も外側のチューブの回りに同心に配置された誘導コイルにRF力を適用することによって形成された場で形成されることを特徴とする方法。
  5. 所定の化学種を加工物が前記化学種で加工されるべき反応チャンバーで生成する方法において、複数の前駆物質のそれぞれを遊離基供給源中の同心のチューブから形成されるプラズマチャンバーそれぞれに対応して導入する工程であって、該供給源が、反応チャンバーから独立している工程、該プラズマチャンバー中でイオン化ガスプラズマを形成し、該前駆物質から遊離基を作る工程、及び前記遊離基を化合して反応チャンバーで所定の化学種を形成する工程であって、該前駆物質を選択して所定の化学種を形成するのに必要な全ての遊離基を製造することを特徴とし、前記プラズマが、最も外側のチューブの回りに同心に配置された誘導コイルにRF力を適用することによって形成された場で形成されることを特徴とする方法。
  6. 前記遊離基を化合する工程が、前記所定の化学種の形成を促進するために前記遊離基を冷却する工程を含む、請求項又は記載の方法。
  7. 前記遊離基を化合する工程が、前記所定の化学種の形成を促進する触媒の使用を含む、請求項又は記載の方法。
  8. 反応チャンバーで所定の化学種を生成する方法において、複数の前駆物質のそれぞれを遊離基供給源中の同心のチューブから形成されるプラズマチャンバーのそれぞれに対応して導入する工程であって、該供給源が、該反応チャンバーから独立している工程、該プラズマチャンバー中でイオン化ガスプラズマを形成し、該前駆物質から遊離基を製造する工程、及び前記遊離基を化合して反応チャンバーで該所定の化学種を形成する工程であって、該前駆物質を選択して該所定の化学種を形成するのに必要な全ての遊離基を製造することを特徴とし、前記プラズマが、最も外側のチューブの回りに同心に配置された誘導コイルにRF力を適用することによって形成された場で形成されることを特徴とする方法。
  9. 窒素及び酸素の遊離基が、前駆物質の気体から得られ、化合して、Nxy(x及びyは、正の整数)を形成する、請求項記載の方法。
  10. NOが、チャンバーの中にN2及びO2の遊離基を入れることによって形成され、かつチャンバー中でプラズマをたたくことによってN2とO2を化合して、NOを形成する、請求項記載の方法。
  11. 窒素及び水素の遊離基が、アンモニア(NH3)から得られ、酸素と化合する、請求項記載の方法。
  12. 2が、SO2と化合して、SO3を形成する、請求項記載の方法。
  13. ポリシリコンが、シラン(Sixy)単独及び水素との化合におけるシランからなる群より選ばれる前駆物質から形成される、請求項記載の方法。
  14. 窒化珪素が、シラン(Sixy)前駆物質及び窒素含有種から形成される、請求項記載の方法。
  15. MXm前駆物質(Mは、金属、Xは、ハロゲン種、及びmは、化学量数)が、金属フィルムの形成に使用される、請求項記載の方法。
  16. 前記MXm前駆物質が、還元種の存在下で使用される、請求項15記載の方法。
  17. 2及びO2が、反応して、フォトレジストの剥離に使用する蒸気を生じる、請求項記載の方法。
  18. HF、H2SO4及びHNO3からなる群から選ばれる酸が、現場で生成され、前記蒸気と化合される、請求項17記載の方法。
  19. 2が、SO2と化合され、有機フォトレジストの剥離に使用するSO3を形成する、請求項記載の方法。
  20. 2Oが、フォトレジストの剥離に使用するNO2に転換される、請求項記載の方法。
  21. 2Oが、酸化物フィルムを窒化してイオン透過に対してバリヤーとして作用するのに使用するNO及びNO2に転換される、請求項記載の方法。
  22. MXm前駆物質(Mは、金属、Xは、ハロゲン種、及びmは、化学量数)が、金属酸化物フィルムの形成において酸化種の存在下で使用される、請求項記載の方法。
  23. 前記酸化種が、O2及びH2O及びこれらの組合せからなる群より選ばれる、請求項22記載の方法。
  24. 2及びO2が、反応して、Si上にSiO2層を成長させる蒸気を形成する、請求項記載の方法。
  25. ポリシリコンが、シラン(Sixy)前駆物質、水素を含む種、及びこれらの組合せからなる群のいずれか1つから形成される、請求項記載の方法。
JP2000592468A 1999-01-05 2000-01-04 現場での化学発生装置及び方法 Expired - Fee Related JP4832643B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/225,922 US6579805B1 (en) 1999-01-05 1999-01-05 In situ chemical generator and method
US09/225,922 1999-01-05
PCT/US2000/000231 WO2000040776A1 (en) 1999-01-05 2000-01-04 In situ chemical generator and method

Publications (2)

Publication Number Publication Date
JP2002534787A JP2002534787A (ja) 2002-10-15
JP4832643B2 true JP4832643B2 (ja) 2011-12-07

Family

ID=22846826

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000592468A Expired - Fee Related JP4832643B2 (ja) 1999-01-05 2000-01-04 現場での化学発生装置及び方法

Country Status (8)

Country Link
US (4) US6579805B1 (ja)
EP (1) EP1155164B1 (ja)
JP (1) JP4832643B2 (ja)
KR (1) KR100755122B1 (ja)
AT (1) ATE475726T1 (ja)
DE (1) DE60044739D1 (ja)
TW (1) TW439105B (ja)
WO (1) WO2000040776A1 (ja)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US20060124588A1 (en) * 1999-01-05 2006-06-15 Berg & Berg Enterprises, Llc System and method for reducing metal oxides with hydrogen radicals
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
JP4546675B2 (ja) * 2001-08-17 2010-09-15 積水化学工業株式会社 多段型の放電プラズマ処理方法及び装置
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US20050212626A1 (en) * 2002-05-07 2005-09-29 Toshiyuki Takamatsu High frequency reaction processing system
US6792460B2 (en) * 2002-10-02 2004-09-14 Mercury Interactive Corporation System and methods for monitoring application server performance
JP3874716B2 (ja) * 2002-11-14 2007-01-31 株式会社東芝 半導体装置の製造方法
KR100484900B1 (ko) * 2002-12-30 2005-04-22 동부아남반도체 주식회사 반도체 제조 장치에서의 플라즈마 점화 방법
US6869582B2 (en) * 2003-01-24 2005-03-22 Air Products And Chemicals, Inc. Process for the synthesis of BrSF5
US7371992B2 (en) * 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7375035B2 (en) * 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7326655B2 (en) * 2005-09-29 2008-02-05 Tokyo Electron Limited Method of forming an oxide layer
BRPI0713478A2 (pt) * 2006-07-07 2012-10-23 Silica Tech Llc aparelho de deposição de plasma para fabricar silìcio policristalino, e, método para formar uma camada de silìcio policristalino sobre um substrato alvo em uma cámara de deposição.
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US20080202564A1 (en) * 2007-02-27 2008-08-28 Dana Scranton Processing system with in-situ chemical solution generation
US20080302652A1 (en) * 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8697197B2 (en) 2009-07-08 2014-04-15 Plasmasi, Inc. Methods for plasma processing
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
CN101891161A (zh) * 2010-06-29 2010-11-24 上海华谊微电子材料有限公司 超纯硫酸的制备方法
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8765232B2 (en) 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US10526708B2 (en) 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11488796B2 (en) * 2019-04-24 2022-11-01 Applied Materials, Inc. Thermal break for high-frequency antennae
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN110095555B (zh) * 2019-04-26 2021-02-26 海南电网有限责任公司电力科学研究院 一种基于分析sf6色谱检测系统及检测方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3657107A (en) 1966-03-11 1972-04-18 British Titan Ltd Apparatus for oxidizing a metal halide
GB1194415A (en) 1967-07-03 1970-06-10 United States Borax Chem High Temperature Chemical Reaction and Apparatus therefor
US3622493A (en) 1968-01-08 1971-11-23 Francois A Crusco Use of plasma torch to promote chemical reactions
CH525705A (de) 1968-12-24 1972-07-31 Lonza Ag Verwendung von vortex-stabilisierten Plasmabrennern zur Durchführung von chemischen Reaktionen
US3652434A (en) 1970-10-02 1972-03-28 Cornell Research Foundations I Pressure wave synthesis of aminocarboxylic acids
US3938988A (en) 1971-01-04 1976-02-17 Othmer Donald F Method for producing aluminum metal from its salts
SE366057B (ja) 1972-10-06 1974-04-08 Aga Ab
US3954954A (en) 1973-03-19 1976-05-04 Plasmachem, Inc. Plasma method and apparatus for carrying out high temperature chemical reactions
US3919397A (en) 1973-11-30 1975-11-11 Aerochem Research Labs Inc Catalytic preparation of NO
US4145403A (en) 1977-09-29 1979-03-20 Fey Maurice G Arc heater method for producing metal oxides
US4266113A (en) * 1979-07-02 1981-05-05 The United States Of America As Represented By The Secretary Of The Navy Dismountable inductively-coupled plasma torch apparatus
JPS56102577A (en) * 1980-01-18 1981-08-17 Mitsubishi Electric Corp Method and device for forming thin film
US4390405A (en) * 1980-02-20 1983-06-28 Curators Of The University Of Missouri Oxygen electrode and method for preparation thereof
US4351810A (en) * 1981-07-09 1982-09-28 The United States Of America As Represented By The Secretary Of Commerce Method for removing sulfur dioxide from a gas stream
US4482525A (en) 1981-08-11 1984-11-13 The United States Of America As Represented By The United States Department Of Energy Nitrogen fixation apparatus
JPS6016424A (ja) 1983-07-08 1985-01-28 Fujitsu Ltd マイクロ波プラズマ処理方法及びその装置
US4794230A (en) * 1984-02-16 1988-12-27 The United States Of America As Represented By The United States Department Of Energy Low-pressure water-cooled inductively coupled plasma torch
JPS6126774A (ja) * 1984-07-16 1986-02-06 Canon Inc 非晶質シリコン膜形成装置
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
DE3668163D1 (de) 1985-11-08 1990-02-15 Inst Francais Du Petrole Verfahren zur thermischen umsetzung von methan in kohlenwasserstoffe mit hoeheren molekulargewichten.
JPH0651909B2 (ja) * 1985-12-28 1994-07-06 キヤノン株式会社 薄膜多層構造の形成方法
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPS6353259A (ja) 1986-08-22 1988-03-07 Mitsubishi Electric Corp 薄膜形成方法
US4739147A (en) * 1987-01-30 1988-04-19 The Dow Chemical Company Pre-aligned demountable plasma torch
US4766287A (en) * 1987-03-06 1988-08-23 The Perkin-Elmer Corporation Inductively coupled plasma torch with adjustable sample injector
US4849192A (en) * 1987-04-08 1989-07-18 Energy And Environmental Research Corp. Methods for preventing formation of sulfuric acid and related products in combustion effluents
US4883570A (en) * 1987-06-08 1989-11-28 Research-Cottrell, Inc. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves
US4898748A (en) 1988-08-31 1990-02-06 The Board Of Trustees Of Leland Stanford Junior University Method for enhancing chemical reactivity in thermal plasma processes
US5026464A (en) 1988-08-31 1991-06-25 Agency Of Industrial Science And Technology Method and apparatus for decomposing halogenated organic compound
US4973773A (en) 1988-11-29 1990-11-27 E. I. Du Pont De Nemours And Company Production of tetrafluoroethylene
US5051557A (en) * 1989-06-07 1991-09-24 The United States Of America As Represented By The Secretary Of The Department Of Health And Human Services Microwave induced plasma torch with tantalum injector probe
US5012065A (en) * 1989-11-20 1991-04-30 New Mexico State University Technology Transfer Corporation Inductively coupled plasma torch with laminar flow cooling
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
US5200595A (en) * 1991-04-12 1993-04-06 Universite De Sherbrooke High performance induction plasma torch with a water-cooled ceramic confinement tube
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP3319014B2 (ja) * 1992-04-03 2002-08-26 ソニー株式会社 成膜方法、成膜装置、及び半導体装置の製造方法
EP0636285B1 (en) 1992-04-16 1996-09-04 Advanced Energy Industries, Inc. Stabilizer for switch-mode powered rf plasma processing
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US5756402A (en) * 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5427669A (en) 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US6217717B1 (en) 1992-12-30 2001-04-17 Advanced Energy Industries, Inc. Periodically clearing thin film plasma processing system
US5331973A (en) * 1993-03-15 1994-07-26 Fiedler Paul N Method for obtaining stool samples for gastrointestinal cancer testing
EP0689618B1 (en) 1993-03-24 2003-02-26 Georgia Tech Research Corporation Method and apparatus for the combustion chemical vapor deposition of films and coatings
JP3380922B2 (ja) * 1993-09-29 2003-02-24 ソニー株式会社 シリコン酸化膜の形成方法
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH08957A (ja) 1994-02-18 1996-01-09 Babcock & Wilcox Co:The 分子窒素及び炭化水素混合物からのプラズマ発生NOx還元性先駆物質の製造
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5560844A (en) * 1994-05-26 1996-10-01 Universite De Sherbrooke Liquid film stabilized induction plasma torch
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5535906A (en) 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US5599425A (en) 1995-02-06 1997-02-04 Air Products And Chemicals, Inc. Predecomposition of organic chlorides for silicon processing
WO1996031899A1 (en) 1995-04-07 1996-10-10 Advanced Energy Industries, Inc. Adjustable energy quantum thin film plasma processing system
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US5980999A (en) * 1995-08-24 1999-11-09 Nagoya University Method of manufacturing thin film and method for performing precise working by radical control and apparatus for carrying out such methods
US5684581A (en) * 1995-12-11 1997-11-04 Mds Health Group Limited Torch for inductively coupled plasma spectrometry
JP3028927B2 (ja) 1996-02-16 2000-04-04 日本電気株式会社 高融点金属膜のドライエッチング方法
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
JPH1098038A (ja) * 1996-09-19 1998-04-14 Sony Corp 半導体装置におけるシリコン酸化膜の形成方法
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
KR20000075660A (ko) 1997-02-24 2000-12-26 로버트 엠. 포터 직렬전원의 병렬 출력 고주파 발생기
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US6066568A (en) * 1997-05-14 2000-05-23 Tokyo Electron Limited Plasma treatment method and system
US5897753A (en) 1997-05-28 1999-04-27 Advanced Energy Industries, Inc. Continuous deposition of insulating material using multiple anodes alternated between positive and negative voltages
US5877471A (en) * 1997-06-11 1999-03-02 The Regents Of The University Of California Plasma torch having a cooled shield assembly
US5908566A (en) * 1997-09-17 1999-06-01 The United States Of America As Represented By The Secretary Of The Navy Modified plasma torch design for introducing sample air into inductively coupled plasma
KR20010031093A (ko) 1997-10-14 2001-04-16 로버트 엠. 포터 신속한 전압 상승에 의한 플라즈마 점화용 시스템
US6194036B1 (en) 1997-10-20 2001-02-27 The Regents Of The University Of California Deposition of coatings using an atmospheric pressure plasma jet
US6163006A (en) 1998-02-06 2000-12-19 Astex-Plasmaquest, Inc. Permanent magnet ECR plasma source with magnetic field optimization
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6097157A (en) * 1998-04-09 2000-08-01 Board Of Regents, The University Of Texas System System for ion energy control during plasma processing
US6053123A (en) * 1998-04-29 2000-04-25 Ball Semiconductor, Inc. Plasma-assisted metallic film deposition
US6225592B1 (en) 1998-09-15 2001-05-01 Astex-Plasmaquest, Inc. Method and apparatus for launching microwave energy into a plasma processing chamber
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6238514B1 (en) 1999-02-18 2001-05-29 Mks Instruments, Inc. Apparatus and method for removing condensable aluminum vapor from aluminum etch effluent
US6197119B1 (en) 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
TW501199B (en) * 1999-03-05 2002-09-01 Applied Materials Inc Method for enhancing etching of TiSix
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
JP2001004095A (ja) 1999-06-18 2001-01-09 Nippon M K S Kk 気化器
AU4775699A (en) 1999-06-22 2001-01-09 Grunenthal Gmbh Method for separating the diastereomer bases of 2-((dimethylamino)methyl)-1-(3-methoxyphenyl)-cyclohexanol
JP2003506888A (ja) 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6410880B1 (en) 2000-01-10 2002-06-25 Archimedes Technology Group, Inc. Induction plasma torch liquid waste injector
US6488745B2 (en) 2001-03-23 2002-12-03 Mks Instruments, Inc. Trap apparatus and method for condensable by-products of deposition reactions

Also Published As

Publication number Publication date
US6800559B2 (en) 2004-10-05
JP2002534787A (ja) 2002-10-15
DE60044739D1 (de) 2010-09-09
ATE475726T1 (de) 2010-08-15
US6579805B1 (en) 2003-06-17
US7033952B2 (en) 2006-04-25
EP1155164B1 (en) 2010-07-28
US20040115936A1 (en) 2004-06-17
KR20010101395A (ko) 2001-11-14
WO2000040776A1 (en) 2000-07-13
TW439105B (en) 2001-06-07
US20030153186A1 (en) 2003-08-14
KR100755122B1 (ko) 2007-09-04
EP1155164A1 (en) 2001-11-21
EP1155164A4 (en) 2005-04-06
US20030170153A1 (en) 2003-09-11

Similar Documents

Publication Publication Date Title
JP4832643B2 (ja) 現場での化学発生装置及び方法
US4028155A (en) Process and material for manufacturing thin film integrated circuits
EP0023429B1 (en) Dry etching of metal film
JP2006272265A (ja) フッ素含有ガスによる表面改質方法及びその装置
JP2001031410A (ja) 拡散炉における熱処理を用いたカーボンナノチューブの精製方法
JPH04191379A (ja) プラズマ処理装置
JPH0710519A (ja) ジシランの製造方法及びこれに使用する反応器
JPS59158525A (ja) アルミニウム合金膜のパタ−ン形成方法
US20060124588A1 (en) System and method for reducing metal oxides with hydrogen radicals
KR900014623A (ko) 산화 탄탈륨 필름의 침착 방법 및 이에 사용되는 화학 증착 시스템
US20040231695A1 (en) Cleaning gas for semiconductor production equipment and cleaning method using the gas
JP2587860B2 (ja) オゾン発生方法
US20050006248A1 (en) Apparatus for generating f2 gas method for generating f2 gas and f2 gas
US5316639A (en) Dielectric material used for an ozone generator and a method of forming a film to the dielectric material
JP2011249405A (ja) ドライエッチング装置のプラズマクリーニング方法
KR0146173B1 (ko) 반도체 소자의 산화막 제조방법
JP2009094209A (ja) シリコンのエッチング方法
JPH05226315A (ja) 半導体装置の製造方法
JP2006110461A (ja) フッ素化合物含有排ガスの処理方法
JP2002085939A (ja) フッ素系排ガス分解処理方法
JP2002110671A (ja) 半導体素子の製造方法
JP3399124B2 (ja) 酸化膜の成膜方法および酸化膜の成膜装置
JPH07335643A (ja) 成膜方法
JPH08288282A (ja) 半導体装置用絶縁膜の製造方法
JP2671435B2 (ja) 灰化方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050104

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20060220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070820

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080317

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20080409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080715

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081225

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090106

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100827

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100902

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110509

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110809

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110921

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140930

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees