JP4417641B2 - 環状チャネルを有するプロセスチューブ支持スリーブ - Google Patents

環状チャネルを有するプロセスチューブ支持スリーブ Download PDF

Info

Publication number
JP4417641B2
JP4417641B2 JP2003070222A JP2003070222A JP4417641B2 JP 4417641 B2 JP4417641 B2 JP 4417641B2 JP 2003070222 A JP2003070222 A JP 2003070222A JP 2003070222 A JP2003070222 A JP 2003070222A JP 4417641 B2 JP4417641 B2 JP 4417641B2
Authority
JP
Japan
Prior art keywords
support sleeve
gas
channel
opening
sealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003070222A
Other languages
English (en)
Other versions
JP2004031915A (ja
Inventor
ゲラルドス マリア デ リーデア クリスチャヌス
ゲラルドス マリア オーステルラケン テオドルス
ヒューセン フランク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2004031915A publication Critical patent/JP2004031915A/ja
Application granted granted Critical
Publication of JP4417641B2 publication Critical patent/JP4417641B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、概ね半導体ウェハ処理用の炉に関し、さらに詳細には、高温プロセスにおいて用いるプロセスチューブ支持用のスリーブに関する。
【0002】
【従来の技術】
いくつかの理由から、半導体プロセスに通常用いる炉には欠点がある。
【0003】
例えば、半導体産業において、例えば約1100℃から1350℃の範囲の温度で処理を行う高温プロセスに使用する炉では、SiC(炭化ケイ素)からなるプロセスチューブを用いることが典型的である。炭化ケイ素は、望ましくは高温に耐え得る材料であるとともに半導体プロセスにおける使用に適切な純度のものを入手可能であることから、プロセスチューブを形成するために用いられる。
【0004】
しかしながら、SiCが遭遇する問題は、SiCが高い熱伝導率を有することである。この高い熱伝導率のために、炉内の加熱エレメントから離れた場所にあるSiCプロセスチューブの末端は、加熱エレメントに近いプロセスチューブ部分と比べても非常に高温のままである。従って、SiCは、加熱エレメントに近い領域から遠ざかる方へ熱を伝導し、その結果、プロセスチューブの末端において望ましくない熱損失が生じ得る。プロセスチューブからの熱損失を最低限にするために、ASM International N.V.に譲渡された米国特許第5,662,470号明細書が、プロセスチューブとより低温の金属製の支持構造体との間において、SiCからなる同様の部分よりも熱伝導率が低い、石英製の支持スリーブを使用することを説明している。
【0005】
炉の種々の部分が接する場所において、プロセスガスの周囲環境への漏出および、プロセスチューブ内への大気の漏入を防ぐために、通常シールが必要である。熱伝導率が高いために、シールの場所におけるSiCプロセスチューブの温度は、炉の作動温度に近づくことになるので、特に炉を高温プロセスに用いる場合には、シールは耐熱性のあるものとすべきである。米国特許第5,662,470号明細書において、シールは、SiCプロセスチューブ面を石英支持スリーブ面と単に接触させることにより形成される。しかしながら、このようなシールでは、いくらかのプロセスガス及び大気の漏出入がなお起こり得るので、プロセスチューブ内における高純度雰囲気が必要な場合に使用するには適切ではない。
【0006】
プロセスチューブの雰囲気純度要件から生じる問題に加えて、炉に関する別の問題が、縦型炉内へガスを給送することにより起こる熱影響から生じる。ガスは、炉に入る前に、室温からプロセス温度へ加熱すべきである。先行技術に係る1つの設計において、ガス給送管は、プロセスチューブの外側に装着される。ガスは、プロセスチューブの底部近くに配置された給送管の底部から供給され、給送管を通り上方向に炉の上部へ流れ、該炉の上部において中央に反応チャンバー内へ導入される。この方法によって、ガスが、ガス給送管を通り上方へプロセスチューブの長さを流れる間に、ガス給送管の配置場所において炉から熱を引き出すことにより高温となるので、温度がプロセスチューブの長さに渡って径方向に均等でなくなる。さらに、プロセスチューブの上部におけるガスの導入は、下方のウェハに対して最上部のウェハにおける熱影響を強める結果となる。
【0007】
ガスの給送により生じる熱影響とは別に、ガスのプロセスチューブ内への給送及びそこからの排出動作は、円筒形でも対称的でもないプロセスチューブ内に流れのパターンを生じさせ得る。すなわち、該流れパターンは、プロセスチューブの水平方向の断面に渡って対称的でない。この非対称的な流れパターンは、プロセスチューブ内において処理されるウェハにおける処理結果を不均一にする可能性がある。
【0008】
処理結果におけるこれらの不均一を最小限にする1つの可能な解決法は、処理中に、ウェハを収容したボートを回転させることである。ウェハを回転させることによって、局所的な不均一が、完全な円形上においてわかりにくくなるかまたは平均化される。しかしながら、高温の適用については、このような適用において用いられる、(例えば、1100℃から1350℃の)高温に十分耐えるボート回転機構および回転給送スルーを設けることは困難である。
【0009】
通常用いる炉に伴うさらなる問題は、SiCプロセスチューブの製造は、特にガス給送管またはガス分配管をプロセスチューブ内に組み込む必要がある場合には、コストがかかり複雑であることである。
【0010】
【発明が解決しようとする課題】
従って、本発明の目的は、上述の欠点及び問題点の1つまたはそれ以上の欠点及び問題点を克服する方法及び構造体を炉のために提供することである。
【0011】
【課題を解決するための手段】
本発明の好適な一実施形態によれば、半導体プロセス用の炉内においてプロセス槽を支持する支持スリーブが提供される。支持スリーブは、上面及び底面と、支持スリーブを画定する壁とを含む。壁は、少なくとも1つのチャネルを有し、壁面はチャネルの側部を画定する。チャネルは、壁に沿って水平方向に延び、ガス連通ラインに連結される。
【0012】
別の好適な実施形態によれば、半導体プロセス用の炉が提供される。炉は、プロセス槽が支持される第1の面を有したプロセス槽支持スリーブを含み、第1の面は周縁を有する。炉はまた、支持スリーブの上に横たわり第2の面を有するプロセス槽を含む。第2の面は、第1の面と接し、該第1の面は、その面内に開口部を有する。開口部は、第1の面と第2の面との間の境界の長さに沿って延び、該境界は、支持スリーブの周縁の長さに沿って延びる。
【0013】
さらに別の好適な実施形態によれば、半導体プロセス用炉の部品同士の間においてシールを形成する方法が、提供される。本方法は、壁面により画定される第1のシール用チャネル内にガスを循環させるステップを含む。第1のシール用チャネルは、第1の接触部分で第1の接触面に開口する。壁は、支持スリーブを画定し、ウェハ処理用の反応空間と周囲環境とを部分的に分ける。支持スリーブは、プロセスチューブを支持する。本方法は、さらに、第1の圧力差を生じるステップを含み、その場合、第1のシール用チャネルガス圧が反応空間ガス圧と周囲環境ガス圧とよりも大きくなるか或いは、シール用チャネルガス圧が反応空間ガス圧と周囲環境ガス圧とより小さくなるかのいずれかとなる。
【0014】
さらに別の好適な実施形態によれば、半導体デバイス製造方法が提供される。本方法は、支持スリーブの円周周りにおいて、プロセス槽を支持する支持スリーブを画定する壁の内部の周りにガスを流すステップと、支持スリーブ面からガスを排出するステップとを含む。
【0015】
【発明の実施の形態】
本発明は、好適な実施形態についての詳細な説明及び添付の図面からよりよく理解され、これらは、本発明を図示することを意図したものであって、限定するものではない。
【0016】
本発明の好適な実施形態は、とりわけ、環状チャネル、すなわち支持スリーブの周縁に沿って延びガス流用通路を提供するチャネルを含む、半導体プロセス槽を支持する支持スリーブを提供することによって、従来の炉の欠点の多くを克服する。該チャネルは、ガスをチャネル内へ給送したりチャネルから排出したりできるガス連通ラインに連結されることが好ましい。有利には、支持スリーブの上面において環状チャネル中を流れるガスを利用して、支持スリーブと、上に横たわるプロセスチューブまたは槽との間にガスシールを形成可能である。上に横たわるプロセスチューブとガスシールとを関連付けて、またはそれとは別に、支持スリーブの底面において環状チャネル中を流れるガスを利用して、下に横たわる支持スリーブ面とガスシールを形成可能である。支持スリーブはまた、プロセスチューブ内にガスを注入するガス注入孔を備え得、該ガス注入孔は、プロセスチューブにより画定される反応空間に面した支持スリーブ面に沿って配置され、好ましくは環状チャネルから反応空間内へガスを給送し、そこにおいて半導体ウェハを処理可能である。さらに、支持スリーブの上面にガス排出孔開口部を有した支持スリーブがまた提供される。ガス排出孔は、ガス排出部に連結され、炉内のガス用の出口として機能可能である。
【0017】
典型的には、プロセスチューブは、支持スリーブの上面に重力だけで載っており、すなわち、構造体は、プロセスチューブの底の周縁全体に沿って、上に横たわるプロセスチューブを支持可能である。一般に、プロセスチューブおよび支持スリーブの接触面を研削及び研磨することによって、それらの面が平滑となり、それらの面同士の間における境界のギャップが最小限になり、プロセスチューブ内部と周囲環境との間にガスの拡散に対する抵抗が得られる。このように、適切なシールが得られる。このようなシールは、従来の先行技術の支持スリーブにおいて一般的である。
【0018】
しかしながら、不安定な適用については、このシールは、ガス漏出がなお起こり得るので適切でない。さらに、シールの質は、接触面の平坦さと面の粗さとに非常に依存する。接触面の平坦さおよび/または粗さにおける種々の変動は、シールの質を変化させる結果になる。本発明の好適な実施形態は、好ましくは優れたシールを提供することが望ましい。
【0019】
好適な一実施形態によれば、支持スリーブの上面に隣接しそれに沿って上へ開口する環状チャネルが、提供される。半導体プロセス用炉内において使用される場合には、プロセスチューブは、好ましくは支持スリーブの上に横たわるかまたはそれにより支持される。他の構成においては支持スリーブとプロセスチューブとの間の接触圧力を増すように当該技術において知られる機械手段を用いることができるが、プロセスチューブは、支持スリーブ上面上に重力のみにより横たわることが好ましい。有利には、支持スリーブの上面における開口部によって、環状チャネルは、シール用環状チャネルとして機能可能であり、プロセスチューブと支持スリーブとの間にガスシールを提供する。
【0020】
ガスシールが、プロセスチューブと支持スリーブとの接触面同士の間の境界面中にガスを流すことにより生成されるシールであり、ガスは支持スリーブ内外へ流れることが理解されよう。例えば、一実施形態において、シール用環状チャネルは、ガス給送部、好ましくは不活性ガス給送部であるガス連通ラインに連結され、該ガス連通ラインは、次に、圧縮ガス源、好ましくは圧縮不活性ガス源に連結される。シール用環状チャネルは、支持スリーブの上面に開口する。開口部は、上面の周縁全体を延びる単一の連続した開口部であることが好ましい。別の実施形態において、開口部は、上面の周縁に沿って延びる複数の開口部を含み、各開口部は、支持スリーブの壁の厚さより大きくない間隔で隔たっていることが好ましい。圧縮不活性ガス源からシール用環状チャネル内へ不活性ガスを給送することによって、シール用環状チャネル内部における不活性ガスの圧力は、プロセスチューブ内のガス圧力および、プロセスチューブ外部の、周囲環境におけるガス圧力より大きくすることができる。従って、反応空間内におけるガス圧力も、周囲環境におけるガス圧力も、シール用環状チャネル内のガス圧力よりも大きくならない。その結果、不活性ガスは、シール用環状チャネルから外へ流すことができ、一方の方向にプロセスチューブにより画定される反応空間内へ、また、他方の方向に周囲環境内へ漏出する。このように、不活性ガス及びその漏出は、ガスシールを構成し、シールから漏出するガスは、実質的に反応空間内のガスが、シール内へ、シール中を、シールから周囲環境内へ漏出することを妨げるか、またはその逆の漏出を妨げる。
【0021】
有利には、ガスシールを提供することによって、シールの質がさらに一定で信頼性のあるものとなり、接触面の平坦さおよび粗さへの依存が少なくなる。ガスシールの別の利点は、接触面から結果として生じる単純な機械(重力)シールと同程度だが、密閉においてはさらに有効な、高温に対する抵抗力を有し、接触面の平坦さおよび粗さへの依存を低減させることである。さらに、通常不活性ガスは、またはこれらのガスの混合物は、不活性ガスシールに用いることができるが、適切な不活性ガスは、窒素(N2)またはアルゴン(Ar)のような、一般に用いられる不活性ガスを含み得ると都合がよい。
【0022】
別の好適な実施形態によれば、追加のシール用環状チャネルが、支持スリーブの底面に隣接して設けられ、該シール用環状チャネルは、底面の全長に渡って底面へ開口する。上面シール用環状チャネルの場合のように、好ましくは、底面シール用環状チャネル用の開口部は、底面の全周縁を延びる単一で連続した開口部である。別の実施形態において、底面シール用環状チャネルは、底面の周縁に沿って延びる複数の開口部を含み、各開口部は支持スリーブの壁の厚さより大きくない間隔で隔たっていることが好ましい。さらに、支持スリーブの底面は、好ましくは、取り外し可能なクロージャの一部分を構成する、すなわち、好ましくは、閉鎖場所において、底面は、炉から取り外し可能で支持スリーブとシールを形成する構造体と嵌合する。例えば、支持スリーブは、好ましくは、静止したままであり、プロセスチューブを支持し、示す実施形態について以下に説明するように、取り外し可能なクロージャは、台の一部分であり、該台は、ウェハボートを支持し、炉から取り外し可能である。台は、炉内へ挿入されると、支持スリーブと接し、支持スリーブと機械シールを形成し、クロージャとして機能する。
【0023】
底面シール用環状チャネルは、不活性ガス用圧縮源に連結された不活性ガス給送部に連結されることが好ましい。各チャネルのために別個の不活性ガス給送部と別個のガス源とを使用可能であるが、圧縮不活性ガス源を、支持スリーブの上面においてシール用環状チャネルに用いられるものと同じものにできることが理解されよう。このように、追加のシール用環状チャネルは、有利には、支持スリーブの底部に不活性ガスシールを供給し、ガスシールは、支持スリーブ上部の、支持スリーブとプロセスチューブとの間のガスシールと同様である。
【0024】
従って、有利には、支持スリーブは、種々の上下に横たわる面との接触境界面で上下に横たわる構造体とガスシールを形成することが好ましい。これらの境界面は、4つの面同士の間における接触部分を含むことが好ましい。例えば、プロセス槽の底部は、支持スリーブの上面である、第2の面と接する第1の面を含む。支持スリーブの下に横たわる取り外し可能なクロージャは、支持スリーブの底面である、第4の面と接する第3の面を含む。示した実施形態において、ガスシールは、第1の面と第2の面との間に、また第3の面と第4の面との間に形成される。しかしながら、当業者は、ガスシールが、両方の境界面に形成する必要はない、すなわち、シールが一方または他方の境界面に形成可能であることを容易に理解するだろう。
【0025】
別の好適な実施形態によれば、シール用環状チャネルの1つまたは両方は、ガス排出部であるガス連通ラインに連結可能である。排出部は、真空を発生させる装置、例えばチャネルからガスを排出するために使用する真空ポンプに連結される。この実施形態において、シール用環状チャネル内におけるガス圧は、プロセスチューブ内におけるガス圧または、プロセスチューブの外部の、周囲環境におけるガス圧のいずれよりも低い。従って、ガスシールは、ガスがプロセスチューブ内から、また外部の周囲環境からシール用環状チャネル内へ引き出されて排出部を介して真空ポンプにより除去されるように、低圧力のシール用チャネルにより形成される。
【0026】
この実施形態の利点は、プロセスチューブ内のプロセスガスが、ガスシールからの圧縮不活性ガスがプロセスチャンバー内へ漏入した場合に起こり得るように、不活性ガスで薄くならないことである。このような濃度低下は、ガスシールの近辺においてウェハ上における処理結果に望ましくない影響を与える可能性がある。それゆえ、圧縮不活性ガスよりも真空を用いることによって、プロセスガスの濃度低下により起こるプロセス上の変化を低減可能である。さらに、この実施形態は、プロセスチューブの支持スリーブへの固定を促進するという利点を有する。すなわち、プロセスチューブは、重力、すなわちプロセスチューブ自体の重量によるだけではなく、真空によりかかる力によっても、支持スリーブ上の所定位置に維持される。
【0027】
別の好適な実施形態によれば、支持スリーブの環状チャネルが、プロセスガス源に連結されるとともに、環状チャネルの長さに沿って相互に間隔をおいて配置される複数のガス注入孔が環状チャネルに設けられる。このように、環状チャネルは、ガス分配用環状チャネルとして機能する。ガス注入孔は、反応空間へ開口し、プロセスガスをガス分配用環状チャネルからそのボリューム内へ流出可能にする。好ましくは、ガス注入孔は、反応空間内へガスを輸送するための単一の入口部を提供する。さらに、ガス注入孔は、好ましくは、ガス分配用環状チャネルの長さに渡って等間隔に配置される。各ガス注入孔の断面積は、好ましくは、ガス分配用環状チャネルの断面積と比較して小さく、その結果、各ガス注入孔の中におけるガス流は、ほぼ等しくなる。ガス注入孔からのガスの流れがほぼ等しくなる結果、プロセスガスは、プロセスチューブ内に円筒対称的に注入されることが望ましい。
【0028】
さらに別の好適な実施形態によれば、支持スリーブは、ガス排出部に連結された環状チャネルが設けられるので、ガス排出用環状チャネルとして機能する。ガス排出用環状チャネルは、ガス排出用環状チャネルの長さに沿って相互に間隔をおいて配置される複数の排出孔が設けられる。ガス排出孔は、ガス排出用環状チャネルの長さに渡って等間隔に配置されることが好ましい。さらに、各ガス排出孔の断面積は、好ましくは、排出用チャネルの断面積と比較して小さいので、その結果、各ガス排出孔中におけるガスの流れが、ほぼ等しくなり、ガスは円筒対称的に流れる。
【0029】
次に、同様の部分には同様の番号を用いた図面を参照する。
【0030】
図1は、本発明の好適な実施形態に係る支持スリーブ100が設けられた炉5を示す。図2は、炉5の下方部分をさらに詳細に示す。図1及び図2の両方を参照すると、外側プロセスチューブまたは槽10と、内側チューブまたは槽12とが、支持スリーブ100により支持され、該支持スリーブ100は、次に、フランジ16により支持される。支持スリーブはまた、台60の一部分として示したフランジ18と接する。円筒形のプロセスチューブ10は、下方端がフランジ11で終わり、円筒加熱コイル20、上部加熱コイル22、隔離材30及び外側シェル40により囲まれる。ドアプレート50が、台60、支持シリンダー70及びウェハボート80を支持する。プロセスガスが半導体製造プロセス中においてウェハ(図示せず)と相互作用可能な処理領域、すなわち反応空間15が、(底及び上端が開口するとともに外側プロセスチューブ10内にある)内側チューブ12、外側プロセスチューブ10、支持スリーブ100および台60によって画定される。内側チューブ12内において、プロセスガスが上方向に流れる。ガスは、炉の上部から内側チューブ12と外側プロセスチューブ10との間において環状ギャップ13中を下方向に排出される。
【0031】
図示した実施形態において、以下にさらに詳細に説明するように、支持スリーブ100は、上に横たわるプロセス槽10と下に横たわる台のフランジ18と、それらの構造体の種々の接触面同士の間の相互作用面においてガスシールを形成することが好ましい。図示するように、フランジ11の底部は、第2の面と接触させて示した第1の面を構成し、第1の面は、支持スリーブ100の上面である。フランジ18は、第4の面と接する第3の面を構成し、第3の面は、支持スリーブ100の底面である。ガスシールは、第1の面と第2の面との間に、かつ、第3の面と第4の面との間に形成可能である。
【0032】
図3及び図4は、支持スリーブ100をさらに詳細に示す斜視図であり、図4は、図3に示した支持スリーブ100の部分切開図である。支持スリーブ100は、底面102、外側面104、内側面106および上面108を伴って図示する。図4を参照すると、示した典型的な実施形態では、4つの環状チャネル、
・上に横たわるプロセスチューブ10(図1)を伴ってシールを提供する上部シール用環状チャネル120、
・下に横たわる面、例えば台60の面18(図2)のような取り外し可能なクロージャーを伴ってシールを提供する下部シール用環状チャネル122、
・ガス分配用環状チャネル124、および
・ガス排出用環状チャネル126が設けられる。
【0033】
上部シール用環状チャネル120は、支持スリーブ100の上面108において開口する。チャネル120は、上面108で完全に開口することが好ましく、その結果、開口部は、上面108の周縁に沿って連続して延びる。上部シール用環状チャネル120は、管110によって表したガス連通ラインに連結され、該ラインは、圧縮ガスを上部シール用環状チャネル120内へ給送するために、または上部シール用環状チャネル120からガスを排出するために使用可能である。管110が、上部シール用環状チャネル120内に圧縮ガスを給送する場合には圧縮ガス源(図示せず)に連結され、また、上部シール用環状チャネル120からガスを排出する場合には真空ジェネレータ(図示せず)、例えば真空ポンプに連結されることを理解されよう。
【0034】
下部シール用環状チャネル122には、チャネル122の全長に沿って連続して開口する、図示したスリット123が設けられ、該開口部は、支持スリーブ100の下面102に開口する。下部シール用環状チャネル122は、管112により示したガス連通ラインに連結され、該ガス連通ラインは、下部シール用環状チャネル122に圧縮不活性ガスを給送するために、または、下部シール用環状チャネル122からガスを排出するために使用可能である。上に説明したように、圧縮ガスが下部シール用環状チャネル122に給送される場合には管112が圧縮ガス源(図示せず)に連結され、また、ガスが下部シール用環状チャネル122から排出される場合には管112が真空ジェネレータ(図示せず)、例えば、真空ポンプに連結されることを理解されよう。
【0035】
ガス分配用環状チャネル124には、複数のガス注入孔134が設けられ、該ガス注入孔134は、示したように、等間隔に配置されるとともに、ガス分配用環状チャネル124の長さに渡って相互に間隔をあけて配置されることが好ましい。ガス注入孔134は、底部環状ギャップ17内へ開口する(図1および図2)。ガス分配用環状チャネル124は、ガス給送管114により示したガス連通ラインに連結され、該ガス給送管114は、さらに1つまたはそれ以上のプロセスガス源(図示せず)に連結される。
【0036】
ガス排出用環状チャネル126は、リング130により上部で閉鎖され、該リング130には、複数のガス排出孔132が設けられ、該ガス排出孔132は、示したように、等間隔に配置されるとともにリング130の円周上において相互に間隔をおいて配置されることが好ましい。ガス排出孔132は、環状排出ギャップ13内に開口して(図1)、ガスが反応空間15(図1)から出ることができるようにする。ガス排出用環状チャネル126自体は、排出管116に連結される。
【0037】
図5A、図5B及び図5Cは、異なる場所における、支持スリーブ100の異なる断面の側面図を示す。図5Aは、図3の5A−5Aの垂直面に沿って排出管116中を通る横断面を示す。留意すべきことは、排出管116が、ガス排出用環状チャネル126に連結して示されていることである(図4も参照)。
【0038】
図5Bは、図4の5B−5Bの垂直面に沿った、ガス注入孔134の1つがある場所における横断面を示す。留意すべきことは、ガス注入孔134が、ガス分配用環状チャネル124用の開口部を提供するものとして示されていることである(図4も参照)。
【0039】
図5Cは、図4の5C−5Cの垂直面に沿った、ガス排出孔132の1つがある場所における横断面を示す。留意すべきことは、ガス排出孔132が、ガス分配用環状チャネル126用の開口部を提供するものとして示されていることである(図4も参照)。
【0040】
本発明の好適な実施形態により行われる半導体プロセスは、多数の利点を提供する。例えば、図1及び図4を参照すると、半導体プロセス中において、プロセスチューブ10は、上部シール用環状チャネル120がプロセスチューブ10のフランジ11により完全に閉鎖されるように支持スリーブ100の上面108に着座する。内側チューブ12はまた、上面108上に、さらに詳細にはプロセスチューブの中心により近いリング130の上面部分上に載る。プロセスガスが、ガス注入孔134から炉5の反応空間15内に注入される。好ましくは、ガス注入孔134は、実質的に等間隔に配置され、その断面積は、ガス分配用環状チャネルの断面積と関連し、各ガス注入孔134からのガス流がほぼ等しくなるのに十分な程度小さく、この場合、支持スリーブ100と台60との間における下方ギャップ17によって、プロセスガスをプロセスチューブ内へ円筒対称的に注入することができる。反応空間15が内側チューブ12により画定されるとともに処理中においてウェハ(図示せず)により占拠されることが理解されよう。
【0041】
引き続き図1および図2を参照すると、注入されたガスがガス分配用環状チャネル124(図4)中を通過し、支持スリーブ100と台60との間の下方ギャップ17内を上方向にガスが通過している間において、支持スリーブ100及び台60からガスへの有効な熱伝達が、下方ギャップ17中においてガス流路上における接触面積が非常に大きいために生じる。下方ギャップ17が反応空間15の小区域であることが、理解されよう。望ましくは、注入後のガスが円筒対称的であることから、熱は、実質的に円筒対称的に台60および支持スリーブ100から引き出されることになる。その結果、ガスが内側チューブ12により画定される反応空間15に入ると、反応空間15は、炉5内の下方領域において温度が対称となることを全く妨げられることなく効果的に余熱されることになる。次に、ガスは、ウェハボート80内に格納されたウェハに接し、炉5の上部へ移動する。次に、ガスは、内側チューブ12と外側チューブ10との間において排出ギャップ13を流れ、次に支持スリーブ100の上面108内においてガス排出孔132、ガス排出用環状チャネル126(図4)それから排出チューブ116(図3)中を流れることにより対称的に排出される。ガス排出孔132が実質的に等間隔に配置されることと、注入されたガスが円筒対称的なパターンで上方へ流れることとが、孔132中の対称的な排出に役立つことが、理解されよう。
【0042】
図2を参照すると、例えば図2および図4に示したように、支持スリーブ100を構成する際に、支持スリーブ100の上部分100aは、好ましくは、内側チューブ12の内径より若干小さい内径を有し、その結果、内側チューブ12は、外側プロセス槽10とほぼ同心に配置され、かつ、直径と、支持スリーブ100の上面上における内側チューブ12の配置とにおけるいくらかの許容差を可能にしながら、適切に支持され得る。しかしながら、支持スリーブ100の下部分100bは、上部分100aと同じ直径を有する必要はない。例えば、図1から図5に示したように、下部分100bは、上面108における支持スリーブ100の内径に対して大きい直径にすることができ、その結果、示した台60の上部分60aのような台の上部分に対して大きな直径を有した、図示した台60の下部分60bのような台の下部分が収容され得る。従って、好適な実施形態において、支持スリーブ100の下部分100bの内径は、内側チューブ12の内径と等しいかまたはそれよりも大きい直径を有した、支持スリーブ100の下部分100bを伴って、台60を収容可能とする程度十分大きい。有利には、このように、炉5の高温領域から直視(direct sight)ラインに沿った放射による熱輸送は、直視ラインが途切れるので妨げられる。
【0043】
本発明の好適な実施形態に係る環状チャネル120、122、124および/または126のような環状チャネルを有する支持スリーブ100が、製造費用の高い複雑な構造であることが理解されよう。従って、支持スリーブは、石英からなることが好ましく、石英によって、冷間機械加工技術、熱変形(hot welding and deformation)技術による成形が可能となる。石英材料は、不透明石英材料であり、透明石英材料ではないことが好ましい。透明石英では、熱伝導率が比較的低いが、石英中を伝わる、放射による熱輸送が実質的なものになり得る。しかしながら、不透明石英では、放射による熱輸送は、効果的に妨げられることが有利である。
【0044】
石英で複雑な構造体を製作することが、高温プロセス用にプロセスチューブを作製する際に典型的に使用される材料のようなSiC材料でこのような構造体を製作するよりも容易で簡単であることが理解されよう。シール用の、ガス給送用環状チャネル及びガス排出用環状チャネルの構造上の複雑さを石英支持スリーブ内に集中させることによって、SiCプロセスチューブの設計は、簡素なままである。上部が閉鎖され底部にフランジを有し均一に平滑な側壁、すなわちいかなる連結チューブまたは他の突起もない側壁を有した非常に簡素なチューブである。さらに、図示した支持スリーブ100のような、好適な実施形態に係る支持スリーブによって容易となる、円筒対称的なガス流のために、非円筒対称的なプロセスガス流により生じる不均一を平均する複雑なボート回転機構を用いることは、必要なくなることが望ましい。従って、先行技術の支持スリーブよりよい結果を得ることに加えて、好適な実施形態に係る支持スリーブを有した炉を提供することは、先行技術の炉に伴う問題への非常にコスト上有効な解決法である。
【0045】
実際に、外側プロセスチューブ10、内側チューブ12及び支持スリーブ100を相互に適切に配置可能なように位置調整手段も設けることが好ましいことが理解されよう。これらの位置調整手段は、外側チューブ12、支持スリーブ100および/または内側チューブ12上に設けられるセンタリングカム(図示せず)により製作可能である。
【0046】
好適な実施形態は、プロセスチューブがSiCからなり支持スリーブが石英からなるとともに好適な実施形態が特に有利である、高温の炉について説明しているが、本教示は、この文脈に限定されないことが理解されよう。従って、本設計は簡潔なものであり、その結果円筒形にかなり近く対称性が非常に高いが、好適な実施形態に係る支持スリーブの利点を他の環境において利用可能であることを期待できる。例えば、他の構成において、温度が適度に高い環境に好適な実施形態を適用可能であり、その結果、石英プロセスチューブを使用可能である。
【0047】
さらに、円筒形プロセス槽またはチューブについて好適な実施形態を説明してきたが、プロセス槽が、中空空間を備えるとともに処理用ウェハを収容可能とする必要のみがあることが理解されよう。プロセス槽の実際の水平方向横断面形状と、延長することによる支持スリーブの水平方向横断面形状とは、本教示により限定されない。しかしながら、好ましくは、その形状は、プロセスガスを円筒対称的にすることが容易になるように、円形のような滑らかな湾曲状である。さらには、支持スリーブをプロセスチューブおよび/または取り外し可能なクロージャと直接接するように図示したが、支持スリーブおよび/または取り外し可能なクロージャとの間に介在する構造体も考えられる。
【0048】
同様に、本発明の範囲から逸脱することなく、上に説明した本方法及び構造に種々の他の変形、省略及び追加を行うことができる。このような全ての変形および変更は、添付の請求項により確定されるように、本発明の範囲内に入るように意図する。
【0049】
【発明の効果】
本発明によれば、半導体デバイス製造用炉のプロセスチューブと支持スリーブとの間においてプロセスガス及び大気の漏出入を防止し、かつ、プロセスチューブ内に均等な温度でプロセスガスを供給し、ウェハにプロセスガスを均一に行き渡らせることができるので、ウェハの処理結果を均一にできる。
【図面の簡単な説明】
【図1】本発明の好適な実施形態に係る支持スリーブが設けられた炉の横断側面図である。
【図2】図1の下方部分の拡大図である。
【図3】図1及び図2の支持スリーブの斜視図である。
【図4】図3の支持スリーブの部分切断図である。
【図5A】図3の5A−5Aの垂直面に沿った、図3及び図4の支持スリーブの一部分の横断側面図である。
【図5B】図4の5B−5Bの垂直面に沿った、図3及び図4の支持スリーブの一部分の横断側面図である。
【図5C】図4の5C−5Cの垂直面に沿った、図3及び図4の支持スリーブの一部分の横断側面図である。
【符号の説明】
5 炉
10 外側プロセスチューブ/槽
12 内側チューブ
15 反応空間
100 支持スリーブ
110 管(不活性ガス給送部/排出部)
112 管(不活性ガス給送部/排出部)
114 ガス給送管(ガス供給部)
120 上部シール用環状チャネル(プロセス槽シール用チャネル/上面シール用チャネル)
122 下部シール用環状チャネル(底面シール用チャネル)
124 ガス分配用環状チャネル(ガス分配用チャネル)
126 ガス排出用環状チャネル(ガス排出用チャネル)
132 ガス排出孔(ガス排出開口部)
134 ガス注入孔(開口部)

Claims (30)

  1. 半導体プロセス用の炉内においてプロセス槽を支持する支持スリーブであって、
    支持スリーブを画定する壁と、
    上面と、
    底面と、
    前記支持スリーブに配置され、前記上面へ開口したプロセス槽シール用チャネル開口部を有するプロセス槽シール用チャネルであって、前記壁の面は、前記プロセス槽シール用チャネルの側部を画定し、当該プロセス槽シール用チャネルは、前記壁に沿って水平方向に延びガス連通ラインに連結されている、プロセス槽シール用チャネルと、
    前記支持スリーブに配置され、前記上面へ開口した排出用チャネル開口部を有する排出用チャネルであって、前記壁の面は、前記排出用チャネルの側部を画定し、当該排出用チャネルは、前記壁に沿って水平方向に延びガス排出ラインに連結されている、排出用チャネルと、を備え、
    前記排出用チャネル開口部が、前記シール用チャネル開口部よりも前記支持スリーブの内周縁に近い位置に配置されるように、前記排出用チャネル開口部が、前記シール用チャネル開口部から横方向の内側に配置されている、支持スリーブ。
  2. 前記排出用チャネル開口部は、前記支持スリーブの内周縁に沿って延び、
    前記プロセス槽シール用チャネルは、前記支持スリーブの外周縁に沿って延びる、請求項1に記載の支持スリーブ。
  3. 前記プロセス槽シール用チャネル開口部は、前記支持スリーブの周縁全体に沿って連続して開口する請求項2に記載の支持スリーブ。
  4. 前記上面のシール用チャネルは、不活性ガス給送部に連結される請求項1に記載の支持スリーブ。
  5. 前記上面のシール用チャネルは、前記上面のシール用チャネル内において真空を生じるための排出部に連結される請求項1に記載の支持スリーブ。
  6. 前記支持スリーブの下部内に配置された底面シール用チャネルをさらに含み、該底面シール用チャネルは、底面へ開口した底面シール用チャネル開口部を有し、該底面シール用チャネル開口部は、前記壁の周縁に沿って延びる請求項1に記載の支持スリーブ。
  7. 前記底面シール用チャネル開口部は、前記支持スリーブの周縁全体に沿って連続して開口する請求項6に記載の支持スリーブ。
  8. 前記底面シール用チャネルは、不活性ガス給送部に連結される請求項6に記載の支持スリーブ。
  9. 前記底面シール用チャネルは、前記底面シール用チャネル内において真空を生じる排出部に連結される請求項6に記載の支持スリーブ。
  10. 前記排出用チャネル開口部は、複数の円形孔を備え、該孔は、ガス排出用チャネルの長さに沿って等間隔に配置される請求項1に記載の支持スリーブ。
  11. ガス分配用チャネルをさらに含み、該ガス分配用チャネルは、前記壁により部分的に画定されたボリュームへ開口する開口部を備える請求項1に記載の支持スリーブ。
  12. 前記ボリュームは、前記炉の反応空間を含む請求項11に記載の支持スリーブ。
  13. 前記ガス分配用チャネルは、プロセスガス用ガス供給部に連結される請求項11に記載の支持スリーブ。
  14. 前記開口部は複数の孔を含む請求項13に記載の支持スリーブ。
  15. 前記孔は、水平面上において実質的に等間隔に配置され、位置調整されている請求項14に記載の支持スリーブ。
  16. 前記炉の反応空間中におけるプロセスガスの流れは、円筒対称的である請求項15に記載の支持スリーブ。
  17. 前記開口部の第1の断面積の、前記ガス分配用チャネルの第2の断面積に対する割合は、各孔中におけるガス流速が実質的に等しくなるように選択される請求項15に記載の支持スリーブ。
  18. 前記支持スリーブの水平方向の断面は、概ね円形である請求項1に記載の支持スリーブ。
  19. 前記支持スリーブは石英を含む請求項1に記載の支持スリーブ。
  20. 前記支持スリーブは不透明石英を含む請求項1に記載の支持スリーブ。
  21. 半導体デバイス製造方法であって、
    支持スリーブの周囲にシール用ガスを流すステップであって、前記支持スリーブを画定する壁の内部に流れが生じ、前記支持スリーブは、当該支持スリーブの上面でプロセスチューブを支持し、前記プロセスチューブは反応空間を画定し、前記上面は、当該上面へ開口した前記支持スリーブの周囲に沿って延びる排出用チャネル開口部及び当該上面へ開口した前記支持スリーブの周囲に沿って延びるプロセス槽シール用チャネル開口部を有し、前記排出用チャネル開口部は、前記プロセス槽シール用チャネル開口部よりも前記支持スリーブの内周縁に近い位置に配置されるように、前記プロセス槽シール用チャネル開口部から横方向の内側に配置されている、ステップと、
    プロセスガスを前記反応空間へ排出するステップであって、前記支持スリーブは、前記壁の内部に前記支持スリーブの周囲に沿って延びるガス分配用チャネル及び前記支持スリーブの内側面に開口したガス分配用チャネル開口部を有し、前記ガス分配用チャネルを通して前記ガス分配用チャネル開口部から前記プロセスガスを流して前記反応空間へ排出するステップと、
    前記排出用チャネル開口部を通して前記反応空間から前記プロセスガスを排出するステップと、
    を含む、半導体デバイス製造方法。
  22. ,100℃を超える高温でウェハを処理するステップを含む請求項21に記載の方法。
  23. 前記温度は1,350℃である請求項22に記載の方法。
  24. 排出するステップは、半導体プロセス炉内において前記ガス分配用チャネル開口部を形成する複数の孔から前記反応空間内へ前記プロセスガスを注入するステップを含む請求項21に記載の方法。
  25. 前記プロセスガスは、実質的に等しい速度で前記複数の孔の各孔を出る請求項24に記載の方法。
  26. 前記プロセスガスは、前記複数の孔を出た後、円筒対称的な流れパターンで流れる請求項25に記載の方法。
  27. 排出するステップは、前記支持スリーブの前記上面における複数の前記排出用チャネル開口部を通して前記プロセスガスを除去するステップを含む請求項24に記載の方法。
  28. 前記支持スリーブの前記上面において前記プロセス槽シール用チャネル内へ前記シール用ガスとして圧縮不活性ガスを給送するステップをさらに含む請求項21に記載の方法。
  29. 前記支持スリーブの底面において第2のシール用チャネル内に真空を発生させるステップをさらに含む請求項28に記載の方法。
  30. 前記支持スリーブの底面において第2のシール用チャネル内に前記シール用ガスとして圧縮不活性ガスを給送するステップをさらに含む請求項28に記載の方法。
JP2003070222A 2002-03-15 2003-03-14 環状チャネルを有するプロセスチューブ支持スリーブ Expired - Lifetime JP4417641B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US36535402P 2002-03-15 2002-03-15

Publications (2)

Publication Number Publication Date
JP2004031915A JP2004031915A (ja) 2004-01-29
JP4417641B2 true JP4417641B2 (ja) 2010-02-17

Family

ID=27766269

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003070222A Expired - Lifetime JP4417641B2 (ja) 2002-03-15 2003-03-14 環状チャネルを有するプロセスチューブ支持スリーブ

Country Status (4)

Country Link
US (1) US6746240B2 (ja)
EP (1) EP1345254B1 (ja)
JP (1) JP4417641B2 (ja)
KR (1) KR100966519B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170011443A (ko) * 2015-07-23 2017-02-02 삼성전자주식회사 가스 인젝터를 갖는 웨이퍼 처리 장치

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
KR100481874B1 (ko) * 2003-02-05 2005-04-11 삼성전자주식회사 집적회로 제조에 사용되는 확산로 및 확산로의 냉각방법
SG155057A1 (en) * 2003-02-27 2009-09-30 Asahi Glass Co Ltd Outer tube made of silicon carbide and thermal treatment system for semiconductors
KR101052448B1 (ko) * 2003-03-28 2011-07-28 아사히 가라스 가부시키가이샤 반도체 열처리 장치
US7128570B2 (en) * 2004-01-21 2006-10-31 Asm International N.V. Method and apparatus for purging seals in a thermal reactor
JP4508893B2 (ja) * 2004-02-02 2010-07-21 エーエスエム インターナショナル エヌ.ヴェー. 半導体処理方法、半導体処理システム及び反応チャンバにガスを供給する方法
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
WO2006104072A1 (ja) * 2005-03-28 2006-10-05 Hitachi Kokusai Electric Inc. 熱処理装置及び基板の製造方法
US7351057B2 (en) * 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
US20070141523A1 (en) * 2005-12-19 2007-06-21 Ye-Long Su Sintering furnace for pipe fittings
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
JP2009016532A (ja) * 2007-07-04 2009-01-22 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP4929199B2 (ja) * 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
KR101016063B1 (ko) * 2008-10-23 2011-02-23 주식회사 테라세미콘 고온 퍼니스
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWD169790S (zh) * 2013-07-10 2015-08-11 日立國際電氣股份有限公司 基板處理裝置用氣化器之部分
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10224222B2 (en) * 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2019058553A1 (ja) 2017-09-25 2019-03-28 株式会社Kokusai Electric 基板処理装置、石英反応管、クリーニング方法並びにプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113124235B (zh) * 2020-01-16 2023-05-23 中国航发商用航空发动机有限责任公司 管路支撑装置、管路支撑组件以及航空发动机
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111725102B (zh) * 2020-06-18 2023-07-14 北京北方华创微电子装备有限公司 半导体工艺设备中的炉管以及半导体工艺设备
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116607128B (zh) * 2023-05-11 2024-03-29 湖南红太阳光电科技有限公司 一种进气炉门及包含其的管式pecvd反应室

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3077195B2 (ja) * 1990-11-27 2000-08-14 日本電気株式会社 半導体熱処理用縦型プロセスチューブの構造
JP3007432B2 (ja) * 1991-02-19 2000-02-07 東京エレクトロン株式会社 熱処理装置
JPH0555152A (ja) * 1991-08-23 1993-03-05 Mitsubishi Electric Corp 半導体製造装置
JP3129480B2 (ja) * 1991-09-18 2001-01-29 東京エレクトロン株式会社 ガスシールド装置及び熱処理装置
JPH06208958A (ja) * 1993-01-11 1994-07-26 Ryoden Semiconductor Syst Eng Kk 薄膜形成装置
US5407349A (en) * 1993-01-22 1995-04-18 International Business Machines Corporation Exhaust system for high temperature furnace
JP2536406B2 (ja) * 1993-06-25 1996-09-18 日本電気株式会社 半導体製造装置
JP3173697B2 (ja) * 1993-07-08 2001-06-04 東京エレクトロン株式会社 縦型熱処理装置
JPH0831756A (ja) * 1994-07-11 1996-02-02 Kokusai Electric Co Ltd 半導体製造装置の反応炉
US5662470A (en) * 1995-03-31 1997-09-02 Asm International N.V. Vertical furnace
JPH09213640A (ja) * 1996-02-05 1997-08-15 Miyagi Oki Denki Kk 減圧cvd膜生成装置及び方法
JPH10223538A (ja) * 1997-02-07 1998-08-21 Tokyo Electron Ltd 縦型熱処理装置
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
WO1998039609A1 (en) * 1997-03-07 1998-09-11 Semitool, Inc. Semiconductor processing furnace

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170011443A (ko) * 2015-07-23 2017-02-02 삼성전자주식회사 가스 인젝터를 갖는 웨이퍼 처리 장치
KR102385545B1 (ko) * 2015-07-23 2022-04-12 삼성전자주식회사 가스 인젝터를 갖는 웨이퍼 처리 장치

Also Published As

Publication number Publication date
KR100966519B1 (ko) 2010-06-29
EP1345254B1 (en) 2014-07-30
EP1345254A2 (en) 2003-09-17
US6746240B2 (en) 2004-06-08
US20030175650A1 (en) 2003-09-18
JP2004031915A (ja) 2004-01-29
KR20030074479A (ko) 2003-09-19
EP1345254A3 (en) 2006-03-15

Similar Documents

Publication Publication Date Title
JP4417641B2 (ja) 環状チャネルを有するプロセスチューブ支持スリーブ
JP4563984B2 (ja) 基板裏面への堆積を減少させる処理装置及び処理方法
TWI722871B (zh) 用於基板處理腔室之蓋及蓋組件套組
JP4361614B2 (ja) 半導体基板のエッジ成膜の制御
JP5578478B2 (ja) 基板プロセス装置でのエッジ堆積を制御する移動可能リング
US7769279B2 (en) Heat treatment apparatus
JP2642005B2 (ja) 基板支持装置
US5997651A (en) Heat treatment apparatus
US7422637B2 (en) Processing chamber configured for uniform gas flow
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
EP0644953B1 (en) Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten cvd
US6599845B2 (en) Oxidizing method and oxidation system
US6187102B1 (en) Thermal treatment apparatus
KR20010034921A (ko) 정화 가스 채널과 펌핑 시스템을 갖는 기판 지지 부재
US6712909B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
JPH1041253A (ja) ウェハ表面上にシャドウリング及びパージを有するヒータ
JP2000208439A (ja) 成膜装置
TW202121580A (zh) 具有多區加熱的托座
JP3173697B2 (ja) 縦型熱処理装置
JP3915314B2 (ja) 枚葉式の処理装置
JPH0930893A (ja) 気相成長装置
KR20040039792A (ko) 반도체 제조설비용 프로세스챔버
JPH11186170A (ja) 成膜方法及び成膜装置
JPH09153485A (ja) 気相成長装置
JP2000269152A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081029

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090430

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090527

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090610

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091014

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091126

R150 Certificate of patent or registration of utility model

Ref document number: 4417641

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091210

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D04

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100413

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100414

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100525

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131204

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term