JP4301506B2 - 低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス - Google Patents

低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス Download PDF

Info

Publication number
JP4301506B2
JP4301506B2 JP2004175642A JP2004175642A JP4301506B2 JP 4301506 B2 JP4301506 B2 JP 4301506B2 JP 2004175642 A JP2004175642 A JP 2004175642A JP 2004175642 A JP2004175642 A JP 2004175642A JP 4301506 B2 JP4301506 B2 JP 4301506B2
Authority
JP
Japan
Prior art keywords
region
type
silicon
epitaxial region
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004175642A
Other languages
English (en)
Other versions
JP2005012213A (ja
Inventor
チーチン・クリスティーン・オウヤン
ジャック・ウン・チュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005012213A publication Critical patent/JP2005012213A/ja
Application granted granted Critical
Publication of JP4301506B2 publication Critical patent/JP4301506B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7781Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7789Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

本発明は、半導体トランジスタに関し、より詳細には、電流が流れる方向にヘテロ障壁が存在しない導電性チャネルと、トランジスタのソース/ドレインと本体(バルク)との間のヘテロ接合とからなる、絶縁ゲート半導体電界効果トランジスタ(MISFET)に関する。
本願はさらに、参照により本明細書に組み込まれかつ本明細書の譲受人に譲受された、垂直NチャネルMISFETを対象とする「低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス(Ultra Scalable High Speed Heterojunction Vertical N-channel MISFETsand Methods Thereof)」という名称の、本願と共に出願されかつ本願の発明者であるキュー・オウヤン(Q.Ouyang)およびジャック・オー・チュ(JackO. Chu)による米国特許出願(代理人整理番号YOR920030140US1)を相互参照するものである。
本願はさらに、参照により本明細書に組み込まれかつ本明細書の譲受人に譲受された、水平ヘテロ接合MISFETを対象とする「二次元バンドギャップ工学によって実現された高速水平ヘテロ接合MISFETおよびその方法(High Speed Lateral Heterojunction MISFETS Realized by 2-dimensionalBandgap Engineering and Methods Thereof)」という名称の、本願と共に出願されかつ本願の発明者であるキュー・オウヤン(Q.Ouyang)およびジャック・オー・チュ(JackO. Chu)による米国特許出願(代理人整理番号YOR920030141US1)を相互参照するものである。
シリコンMOSFETのスケーリングは、半導体産業において主要な課題となっている。デバイスの寸法がナノメートル・レベルに縮小するにつれ、従来の技法では、ある特定の望ましくない物理的作用を低減させることができなくなり始めている。例えば、短チャネル効果(SCE)を低減させるにはアンチ・パンチスルー(APT)やハロゲン注入を用いている。しかし、温度によって拡散が大きくなるので急峻なドーピング・プロフィルを実現することが困難であり、またこのような高濃度でドープされたチャネルまたはポケット注入領域により、接合容量および帯間トンネリングが増大する。エス・トンプソン他(S. Thompson, et al.)による「MOSスケーリング:21世紀に向けたトランジスタの課題(MOS scaling:transistor challenges for the 21st century)」、インテル・テクノロジー・ジャーナル(IntelTechnology Journal)、Q3、1998年では、所与の技術に関し、チャネル工学によって回路ゲート遅延を約10%しか低減させることができず、ゲート酸化物およびソース/ドレイン(S/D)の接合深さのスケーリングがもたらされた世代の後の世代では、チャネル長のスケーリングを行うことができないことが示されている。
バンドギャップ工学によれば、デバイス設計において重要な自由度を得ることができる。分子線エピタキシャル法(MBE)、様々なタイプの化学気相成長法(CVD)、またはイオン注入、あるいはこれらの組合せによって、高品質引張り歪みSi/SiGeおよび圧縮歪みSiGe/Siヘテロ構造を成長させることにより、成熟したシリコン技術にバンドギャップ工学の概念を組み入れることが可能になる。
バンドギャップ工学は、様々なタイプのヘテロ接合電界効果トランジスタ(HFET)を実現するのに利用されている。最も広く研究されているのは変調ドープ型電界効果トランジスタ(MODFET)であり、量子井戸を使用して、低濃度にドープされた半導体にキャリアを閉じ込めている(ケー・イスマイル(K. Ismail)、「Si/SiGe高速電界効果トランジスタ(Si/SiGe High-Speed Field-EffectTransistors)」、IEDM、テクノロジー・ダイジェスト(Technology Digest)、第509〜512頁、1995年参照)。より高いキャリア移動度は、存在する場合にはヘテロ材料系に応じて、不純物散乱の低減、埋込みチャネルでの表面粗さ散乱の低減、および歪みによって引き起こされた移動度の増大により、実現することができる。同様の概念から派生して、様々なタイプのヘテロ構造CMOSデバイスも提案され研究されている(エム・エー・アームストロング他(M.A. Armstrong, et al.)「Si/SiGeヘテロ結合相補型金属酸化膜半導体トランジスタの設計(Design of Si/SiGeHeterojunction Complementary Metal-Oxide Semiconductor Transistors)」、IEDM、テクノロジー・ダイジェスト(TechnologyDigest)第761〜764号、1995年;エス・イマイ他(S. Imai et al.)「Si−SiGe半導体デバイスおよびその製作方法(Si-SiGeSemiconductor Device and Method of Fabricating the Same)」米国特許第5,847,419号;およびエム・クボ他(M.Kubo, et al.)「ケイ素−ゲルマニウム−炭素化合物半導体層を用いてHCMOSデバイスを形成する方法(Method of Forming HCMOSDevices with a Silicon-Germanium-Carbon compound Semiconductor Layer)」米国特許第6,190,975号、2001年2月20日、参照)。これらデバイスの利点とは、キャリア移動度が高いことであり、したがって駆動電流が高く高速である。しかしこれらプレーナ・デバイスには、依然として2つの顕著な問題があり、すなわちデバイスのスケーリングと短チャネル効果の制御である。
プレーナFETデバイスでは、チャネル長はリソグラフィによって制限される。この問題は、デバイスを垂直方向に製作する場合に解決することができ、チャネル長は、エピタキシャル技法によってのみ決定される。ソース/ドレインでのホウ素およびリンの拡散は、ワイ・ミン他(Y. Ming, et al.)の「SiGeCソース−ドレインを有する25nm p−チャネル垂直MOSFET(25-nmp-Channel vertical MOSFET's with SiGeC source-drains)」、IEEE Electron DeviceLetters、第20巻、No.6、1999年、およびエイチ・リュッカー他(H. Rucker, et al.)「C−ドープ型SiおよびSiGeでのドーパント拡散:物理的モデルおよび実験的検証(Dopantdiffusion in C-doped Si and SiGe: physical model and experimental verification)」、IEDM、テクニカル・ダイジェスト(TechnicalDigest)、第345〜8頁、1999年に示されるように、ソース/ドレインに薄いSiGeC層を導入して超スケーラブルな垂直トランジスタを実現することにより、低減することができる。
短チャネル効果に関しては、超急峻なレトログレード型チャネル・プロフィルおよび超薄型ソース/ドレイン接合を除き、シリコン・オン・インシュレータ(SOI)を使用して短チャネル効果を制御してきた。しかしSOIでは短チャネル効果が完全に除去されず、したがってSOIに関する固有の問題とは、フローティング・ボディ効果である。短チャネル効果を低減させる別の方法とは、ソース/本体接合部に埋込み型エネルギー障壁を持たせることであり、障壁の高さが印加バイアスに左右されない障壁を持たせることである。この場合、ヘテロ接合によって得られるバンド・オフセットが非常に適している。ヘテロ接合MOSFET(HJMOSFET)は、エス・ヘアランド他(S. Hareland, et al.)の「ディープ・サブマイクロメートルMOSFETにおけるパンチスルー電流を低下させてMOSFETのスケーリングを拡張するための新しい構造的アプローチ(Newstructural approach for reducing punchthrough current in deep submicrometerMOSFETs and extending MOSFET scaling)」、IEEE Electronics Letters、第29巻、No.21、第1894〜1896頁、1993年10月、およびエックス・ディー・チェン他(X.D. Chen, et al.)の「ソース/ドレインとチャネルとの間にヘテロ接合を有する垂直P−MOSFET(Vertical P-MOSFETS withheterojunction between source/drain and channel)」、Device Research Conference、デンバー、2000年6月により提案され研究されている。
p−チャネル/n−チャネル相補型垂直MISFETデバイスと、そのようなデバイスをダイナミックRAM(DRAM)に特定して利用することが、米国特許第5,920,088号、第6,207,977号、第5,963,800号、および第5,914,504号に記載されている。ヘテロ接合は、垂直デバイスのソース/チャネル接合で利用される。非常に短いチャネルを実現することができかつ短チャネル効果を低減させることができるが、そのようなデバイス構造には依然として大きな欠点がある。オフ状態(すなわちゲートではバイアスが0でありドレインではバイアスが高い)では、ドレイン誘発型障壁低下(DIBL)、バルク・パンチスルー、したがってオフ状態漏洩電流を低下させるのに、ヘテロ障壁が有用である。しかしオン状態(すなわちゲートおよびドレインでのバイアスが高い)では、埋込み型ヘテロ障壁が駆動電流に有害になる。その理由は、ソース/チャネル接合でのヘテロ障壁によって、ソースからチャネルへのキャリアの熱放出が著しく阻止されるからである。キャリア注入のための唯一の方法とは、チャネル内輸送のボトルネックとなる、障壁を横断する量子力学的トンネリングである。これらの参考文献で述べた、チャネル内の障壁を横断した後のいわゆる弾道伝導は、表面粗さ散乱が強いために生じない。したがって、そのようなデバイスの駆動電流は著しく低下する。さらに、そのようなデバイスのソースの一部(チャネル附近)はドープされていないので、ソースの直列抵抗が高いことから駆動電流はさらに低下することになる。詳細な研究は、キュー・オウヤン他(Q. Ouyang, et al.)による「新規なpMOSFETにおける2次元バンドギャップ工学(Two-DimensionalBandgap Engineering in Novel pMOSFETs)」、SISPAD、シアトル、2000年9月で、またエックス・ディー・チェン他(X.D. Chen, et al.)による「ソース/ドレインとチャネルとの間にヘテロ接合を有する垂直P−MOSFET(Vertical P-MOSFETSwith heterojunction between source/drain and channel)」、Device ResearchConference、デンバー、2000年6月で行われている。
最近、水平高移動度埋込み型p−チャネルヘテロ接合トランジスタ(HMHJT)が、キュー・オウヤン他(Q. Ouyang, et al.)の米国特許第6319799B1号に記載されている。詳細なシミュレーション研究は、キュー・オウヤン他(Q.Ouyang, et al.)による「短チャネル効果を低減させ駆動駆動電流を高めた新規なSi/SiGeヘテロ接合pMOSFET(A Novel Si/SiGeHeterojunction pMOSFET with Reduced Short-Channel Effects and Enhanced DriveCurrent)」、IEEE Transactions on Electron Devices、47(10)、2000年で行われている。さらに、デバイスは、キュー・オウヤン他(Q.Ouyang, et al.)によって製作された「駆動電流を高め短チャネル効果およびフローティング・ボディ効果を低減させた新規な垂直pMOSFETの製作(Fabricationof a Novel Vertical pMOSFET with Enhanced Drive Current and ReducedShort-Channel Effects and Floating Body Effects)」、VLSIシンポジウム、京都、2001年6月の垂直構造を使用して実現された。この場合、高性能pMOSFETを実現させるため、圧縮歪みSiGe/Siを使用している。しかし、そのようなデバイスのチャネル長のスケーリングは、ソース/ドレインからチャネルへのホウ素の拡散によって、依然として制約を受けている。さらに、埋込み型チャネルの相互コンダクタンスは、その埋込み型チャネルでの移動度が高いにも関わらず、ゲート容量の低下が原因となって、表面チャネルの場合よりも低下する可能性がある。本発明はこれらの問題に対処し、pMOSFETの新しい構造を提供するものである。最終的には本発明は、垂直高性能相補型MISFETを開示する。
米国特許第5,285,088号は、「高電子移動度トランジスタ」について述べている。このデバイスは、活性領域上に部分的に突出した「オーバーハング形状」が形成されるように、ポリSiGe層とポリSi層からなるソース/ドレイン電極用の1対の半導体層を有している。この場合、ソース/ドレインとゲートは自己整合する。しかしこれはプレーナ・デバイスであり、依然として短チャネル効果の影響を受けている。
米国特許出願(代理人整理番号YOR920030140US1) 米国特許出願(代理人整理番号YOR920030141US1) 米国特許第5,847,419号 米国特許第6,190,975号 米国特許第5,920,088号 米国特許第6,207,977号 米国特許第5,963,800号 米国特許第5,914,504号 米国特許第6319799B1号 米国特許第5,285,088号 エス・トンプソン他(S. Thompson, et al.)、「MOSスケーリング:21世紀に向けたトランジスタの課題(MOSscaling: transistor challenges for the 21st century)」、インテル・テクノロジー・ジャーナル(IntelTechnology Journal)、Q3、1998年 ケー・イスマイル(K. Ismail)、「Si/SiGe高速電界効果トランジスタ(Si/SiGeHigh-Speed Field-Effect Transistors)」、IEDM、テクノロジー・ダイジェスト(Technology Digest)、第509〜512頁、1995年 エム・エー・アームストロング他(M. A. Armstrong, etal.)、「Si/SiGeヘテロ結合相補型金属酸化膜半導体トランジスタの設計(Design of Si/SiGe HeterojunctionComplementary Metal-Oxide Semiconductor Transistors)」、IEDM、テクノロジー・ダイジェスト(TechnologyDigest)第761〜764号、1995年 ワイ・ミン他(Y. Ming, et al.)、「SiGeCソース−ドレインを有する25nm p−チャネル垂直MOSFET(25-nmp-Channel vertical MOSFET's with SiGeC source-drains)」、IEEE Electron DeviceLetters、第20巻、No.6、1999年 エイチ・リュッカー他(H. Rucker, et al.)、「C−ドープ型SiおよびSiGeでのドーパント拡散:物理的モデルおよび実験的検証(Dopantdiffusion in C-doped Si and SiGe: physical model and experimental verification)」、IEDM、テクニカル・ダイジェスト(TechnicalDigest)、第345〜8頁、1999年 エス・ヘアランド他(S. Hareland, et al.)、「ディープ・サブマイクロメートルMOSFETにおけるパンチスルー電流を低下させてMOSFETのスケーリングを拡張するための新しい構造的アプローチ(Newstructural approach for reducing punchthrough current in deep submicrometerMOSFETs and extending MOSFET scaling)」、IEEE Electronics Letters、第29巻、No.21、第1894〜1896頁、1993年10月 エックス・ディー・チェン他(X. D. Chen, et al.)、「ソース/ドレインとチャネルとの間にヘテロ接合を有する垂直P−MOSFET(VerticalP-MOSFETS with heterojunction between source/drain and channel)」、DeviceResearch Conference、デンバー、2000年6月 キュー・オウヤン他(Q. Ouyang, et al.)、「新規なpMOSFETにおける2次元バンドギャップ工学(Two-DimensionalBandgap Engineering in Novel pMOSFETs)」、SISPAD、シアトル、2000年9月 キュー・オウヤン他(Q. Ouyang, et al.)、「短チャネル効果を低減させ駆動駆動電流を高めた新規なSi/SiGeヘテロ接合pMOSFET(ANovel Si/SiGe Heterojunction pMOSFET with Reduced Short-Channel Effects andEnhanced Drive Current)」、IEEE Transactions on Electron Devices、47(10)、2000年 キュー・オウヤン他(Q. Ouyang, et al.)、「駆動電流を高め短チャネル効果およびフローティング・ボディ効果を低減させた新規な垂直pMOSFETの製作(Fabricationof a Novel Vertical pMOSFET with Enhanced Drive Current and ReducedShort-Channel Effects and Floating Body Effects)」、VLSIシンポジウム、京都、2001年6月
本発明の目的は、極めて優れた性能およびスケーラビリティを有するデバイス構造を提供することである。2次元バンドギャップ工学を使用することにより、従来のSi技術における矛盾点を回避することができ、駆動電流と漏洩電流とを独立に最適化する。その結果、非常に高い駆動電流と優れたターンオフ特性を同時に実現することができる。そのようなデバイスで短チャネル効果を抑制することにより、MOSFET技術の連続的かつより積極的なスケーリングも可能になる。
本発明は、様々な実施形態により、これらの利点を有する垂直p−チャネルおよび垂直相補型MISFET構造について述べる。本発明の別の態様は、そのようなデバイスのプロセス・インテグレーションである。本発明で述べるデバイスは、トランジスタのソースと本体との間に少なくともヘテロ接合を有するが、チャネル内では電流が流れる方向に沿ってヘテロ障壁が存在しないものである。ドレイン誘発型障壁低下は、ソース接合でのヘテロ障壁に起因して実質的に低減され、そのため、閾値下の振れおよびオフ状態での漏洩が小さくなる。一方、駆動電流は、チャネル内にヘテロ障壁がないことから量子力学的トンネリングによって制限されない。したがってこれらのデバイスによれば、非常に高いオン/オフ比を実現することができる。このようなデバイスは、DRAMやラップトップ・コンピュータ、無線通信などの、高速で低漏洩、低電力の適用分野において極めて優れたものである。
シリコン・ベースやIII−V材料系など、デバイスの概念を実現するには適正なバンド・オフセットを有する任意のヘテロ材料系を使用することができる。シリコン技術が最も成熟していることから、シリコン・ベースの材料が最も経済的に見合うものであり魅力的である。pMISFETの場合、シリコン上に設けられた圧縮歪みSiGeまたはSiGeCは、正孔に適切なバンド・オフセットを有する。相補型MISFETを実現させるには、電子に適切なバンド・オフセットを有するという理由で、2つの選択、すなわちnMISFETに使用可能な2つのタイプのSiベース・ヘテロ構造がある。1つは、緩和SiGeバッファ層上に圧縮歪みSiまたはSiGeを設けたものであり、もう1つは、Si上に引張り歪みSi1−x−yGeを設けたものである。これらヘテロ構造のそれぞれの設計では、チャネルは表面チャネルでよく、または埋込み型量子井戸チャネルでよい。
キャリア移動度は、結晶の歪みだけではなく結晶方向にも依存する。最近の研究によれば、ゲート酸化物が2nm未満でありゲート長が150nm未満のデバイスでは、(110)基板上で<110>方向に沿って正孔移動度が著しく高まり、一方、(100)基板上では<100>方向に沿って電子移動度が最高であり続けることが示されている。従来の平面シリコン技法を使用して(100)平面にnMOSFETを集積し(110)平面にpMOSFETを集積することは実用的ではないが、垂直デバイスまたはFinFETの場合はそのようにすることが比較的容易である。したがって、ヘテロ構造を利用することによるチャネルの歪みを引き起こすことなく、またはデバイス製作プロセスによって局所的な応力をどの場所にも引き起こすことなく、同一のウェハー上に、高正孔移動度チャネルと高電子移動度チャネルを同時に実現することができる。
本発明では、垂直p−チャネル・トランジスタに関する2つの実施形態を例示する。次いで垂直CMOSに関する2つの実施形態について記述する。製作方法についても記述する。
本発明のこれらおよびその他の特徴、目的、および利点は、本発明に関する以下の詳細な記述を添付図面と併せて読むことにより、明らかにされよう。
炭素、シリコン、およびゲルマニウムの格子面間隔は、それぞれ3.567Å、5.431Å、および5.646Åである。2軸引張り歪みは、緩和Si上の擬似格子整合SiCに、あるいは緩和SiGeまたはGe基板上の擬似格子整合Siに存在するが、これは、擬似格子整合材料の場合、成長面(表面)内で格子面間隔が大きくなると成長方向(表面に垂直)での格子面間隔が小さくなることを意味する。一方、圧縮歪みは、緩和Si上の擬似格子整合SiGeに、または緩和SiGe上の擬似格子整合Geに存在するが、これは、擬似格子整合材料の場合、成長面(表面)内で格子面間隔が小さくなると成長方向(表面に垂直)での格子面間隔が大きくなることを意味する。緩和Si上の圧縮歪みSiGeに少量の炭素(<1%)を添加することによって、SiGeの歪みを補償し軽減することができる。歪みは、歪み材料のバンド構造を変化させる。したがって歪みは、エネルギー・バンド・オフセット、有効質量、および状態密度に影響を及ぼす可能性がある。図面を参照すると、図1は、緩和シリコン上の圧縮歪みSiGeまたはSiGe(C)の伝導帯および価電子帯を、それぞれ曲線2および3で示している。正孔は、正孔移動度の高い圧縮歪みSiGe(C)内に閉じ込められ、したがってこの材料系はpMOSFETに適している。
図2は、緩和Siバッファ層上の引張り歪みSi1−yの伝導帯および価電子帯を、それぞれ曲線4および5で示している。この場合、電子は、電子移動度の高い引張り歪みSi1−yに閉じ込められ、したがってこの材料系はnMOSFETに適している。さらに図3は、シリコン・ゲルマニウム上の引張り歪みシリコンの伝導帯および価電子帯を、曲線6および7でそれぞれ示す。電子は、電子移動度が潜在的に高い引張り歪みシリコン内に閉じ込められ、したがってこの材料系はnMOSFETに適していると考えられる。これら3種の材料系では、チャネルは表面チャネルでよく、または埋込み型量子井戸チャネルでよい。図1〜3において、縦座標はエネルギーを表し、横座標は深さを表す。
図4は、垂直デバイス160構造の平面図を示す(一律の縮尺で示していない)。図5は、第1の実施形態である圧縮歪みSiGeC垂直pMOSFET 160の断面図であり、ソース層またはソース領域164や、本体層または本体領域163、ドレイン層またはドレイン領域162と、その側壁に設けられたチャネル層またはチャネル領域165や、絶縁体層または絶縁体領域166、ゲート電極層またはゲート電極領域167などの、いくつかの層または領域を含んでいる。垂直カラムまたはメサ6000は、垂直デバイス160を形成する。SiGe層またはSiGe領域164の歪みは大きく、その臨界膜厚はやや小さい。層の歪みが大きくなるほど、歪んだ層が緩和し始める臨界膜厚は薄くなる。臨界膜厚は、当技術分野では、層または領域に欠陥が生じてその歪みが軽減され、それによって層または領域がその本来の格子面間隔へと緩和する厚さと理解される。格子面間隔は、層の組成によって決定される。例えばGe格子は、シリコンの格子面間隔の1.04倍である。SiGe層のGe組成が50%の場合、その格子面間隔はシリコンの格子面間隔の1.02倍であることが予測される。この実施形態では、緩和シリコン層またはその領域、ポリシリコン層またはその領域、あるいはポリSiGe層またはその領域410を、歪みSiGe層164の上面に形成し、それによって、シリサイド化に十分な厚さの複合体ソースも形成される。層410は、望みに応じて厚くすることができるが、層または領域164の厚さは、臨界膜厚よりも薄いかまたは臨界膜厚程度である。
デバイスには、以下の構造的特徴がある。
1)ドレインはp型単結晶シリコン162であり、その濃度レベルが1×1019原子/cmよりも高い。
2)本体はn型シリコン163であり、そのドーピング・レベルは、所望の閾値電圧が得られるように調節される。
3)層162上のp型SiGeC層210を使用して、ドレイン162から本体163へのホウ素拡散を低減させる。層210のp型濃度レベルは、1×1019原子/cmよりも高い。
4)ソースは、p型の圧縮歪みGe、GeC、またはSiGeC 164と緩和シリコンまたはポリシリコンまたはポリSiGe 410であり、どちらもそのp型濃度レベルが1×1019原子/cmよりも高い。
5)チャネル165は圧縮歪みSiGeCまたはシリコンであり、矢印104で示される電流が流れる方向に沿ってヘテロ障壁がない。
6)ソース164と本体163との界面570に歪みSiGeC/Siヘテロ接合を形成するが、好ましくはソース/本体の金属学的なp/n接合に整合している。
7)ゲートは、本体163の表面を経てソース164からドレイン162までチャネル165全体に重ねられた導電層167であり、それらの間には絶縁体166が設けられる。
8)ドレイン、ソース、およびゲート電極169、170、および171は、ドレイン162、複合体ソース164および410、およびゲート167にそれぞれ結合している。
9)層161は、バルク状のシリコン、またはSOI基板、またはSiGeオン・インシュレータでよい。
ゲート誘電体層または領域166は、シリコンの酸化物、窒化物、酸窒化物と、Hf、Al、Zr、La、Y、Taの酸化物およびシリケートのそれぞれまたは組合せでよい。
導電性領域167は、金属、金属ケイ化物、ドープ型ポリシリコン、またはドープ型ポリSiGeでよい。
層210は、1×1019〜1×1021原子/cmの範囲でp型にドープされる。
層または領域410は、1×1019〜1×1021原子/cmの範囲でp型にドープされる。
垂直構造またはカラム5000は、結晶面(110)に側壁を有しかつ基板平面または基板の主面に垂直でよい。
低ノイズの適用例では埋込み型チャネルが好ましい。図6に、垂直埋込み型チャネルpMOSFETを示す。ゲート酸化の前に、シリコン・キャップ層または領域175を形成する。この場合、表面粗さ散乱がないので、歪みSiGe量子井戸チャネル165はその高い正孔移動度を維持することが可能になる。シリコン・キャップ層または領域175を除き、図6は図5と同様である。
上述の実施形態は、ソースと本体の間、すなわち界面570にのみヘテロ結合を有する非対称デバイスであることに留意されたい。トランスミッション・ゲート回路などのある特定の回路の適用例では、デバイスが対称であることが好ましい。
図7に示す本発明の第4の実施形態は、表面チャネルpMOSFET 901である。これは第1の実施形態と同様の構造を持つが、表面チャネルと、薄い圧縮歪みSiGeC層900およびシリコン層または領域162を含む複合体ドレインとを有する。この構造で、SiGeC層または領域164と900は、歪みの量が同じでよくまたは同じにすることができる。領域900は、濃度レベルが1×1019原子/cmよりも高いp型でよく、または炭素ドープ領域900は、1×1019〜1×1021原子/cmの範囲でp型にドープしたものでもよい。したがって、ソースとドレインの接合部でのヘテロ障壁は同じ高さを有し、そのためデバイスは対称デバイスに近くなる。
図8および8Bは、(100)シリコン基板上の垂直nMOSFETおよび垂直pMOSFETのメサ構造または垂直構造の向きを示す平面図である。図8のnおよびpチャネルは、(110)族の平面内にある。(110)平面内の正孔移動度が(100)平面の場合より高いとしても、電子移動度は(100)平面に比べて劣っている。しかし、nMOSFETのメサ構造または垂直構造をウェハーの切欠き線から45度回転させると、メサ構造または垂直構造の4つの側壁の表面にあるnチャネルは、図9に示すように(001)、(010)、(001)、および(010)平面内にある。一方、図9では、pMOSFETのメサ構造または垂直構造の側壁がウェハーの切欠き線に整合しており、このメサ構造または垂直構造の側壁表面にあるpチャネルは、(011)、(011)、(011)、および(011)平面内にある。その結果、図9に示す垂直CMOSのレイアウトによって、高い正孔移動度と高い電子移動度を同時に実現することができる。図9に示される方位調整は、置換ゲートを持ちまたは持たないSi垂直MOSFETや本明細書に記載するヘテロ接合MOSFETなどの、任意の垂直MOSFETに使用できることに留意されたい。
図10は、垂直nMOSFET 74および垂直pMOSFET 260を含む垂直CMOSインバータ262の実施形態を示す。メサの向きは図9に示すが、pチャネル・メサ5000の側壁は平面(110)内にあり、nチャネル・メサ3001の側壁は平面(100)内にある。デバイスの分離は、絶縁体領域またはブランケット誘電体層168、148、および68によって行う。nMOSFET 74は、引張り歪みSiGeCソース64と、ドレイン内の炭素ドープ層300を有し、ドレイン62にリンがドープされた場合にそのリンの拡散が減少するようになされている。pMOSFETは、圧縮歪みSiGeCソース164と、ドレイン内の炭素ドープ層210を有し、ドレイン162にホウ素がドープされた場合にホウ素の拡散が減少するようになされている。どちらのデバイスも、シリコン表面チャネル65、165を有する。ゲート絶縁層または領域66、166は、酸化物、酸窒化物、その他の高誘電率誘電体、またはこれらの組合せにすることができる。ゲート電極67、167は、ミッドギャップの仕事関数を有する同じ種類の金属、または適切な仕事関数を有する異なる2種の金属にすることができ、すなわちnMOSFETにはn型ポリシリコンまたはポリSiGeを使用し、pMOSFETにはp型のポリシリコンまたはポリSiGeを使用することができる。垂直カラム6000は、pMOSFET 260を形成する。垂直カラム4001はnMOSFET 74を形成する。
図10に示す垂直電界効果CMOSトランジスタで作製されたインバータの作製方法は、以下に述べる通りであり、すなわち
第1の単結晶基板61上にシリコン・エピタキシャル層または領域62を形成し、そのシリコン・エピタキシャル層または領域62を、1×1019原子/cmよりも高い濃度レベルでn型にドープするステップと、
n型シリコン層または領域62上に、Si1−i−jGeエピタキシャル層または領域300を形成するステップと、
Si1−i−jGe層または領域300上にシリコン・エピタキシャル層または領域63を形成し、そのシリコン・エピタキシャル層または領域63をp型にドープするステップと、
p型シリコン層または領域63上に歪みSi1−yエピタキシャル層または領域64を形成し、その歪みSi1−y層または領域64を、1×1019原子/cmよりも高い濃度レベルでn型にドープするステップと、
n型歪みSi1−y層または領域64上にシリコン層または領域450を形成し、そのシリコン層または領域450を、1×1019原子/cmよりも高い濃度レベルでn型にドープするステップと、
シリコン層または領域62から、第2の層の歪みSi1−x層または領域300表面、第3のp型シリコン層または領域63表面、第4の歪みSi1−y層または領域64表面を経て、シリコン層または領域450に延びた少なくとも1つの側壁を含む、垂直カラム構造3001を形成するステップと、
垂直構造3001の少なくとも1つの側壁の領域表面にシリコン層または領域65を形成するステップと、
シリコン層または領域65の表面に誘電体層または領域66を形成するステップと、
誘電体層または領域66の表面に導電層または領域67を形成するステップと、
その附近の領域をマスキングしエッチングして、単結晶基板61を露出させるステップと、
第1の単結晶基板161上に、1×1019原子/cmよりも高い濃度レベルのp型シリコン162層または領域を形成するステップと、
層または領域162上に、炭素ドープ・エピタキシャル層または領域210を形成し、この層または領域210を、1×1019原子/cmよりも高い濃度レベルでp型にドープするステップと、
層または領域210上にシリコン・エピタキシャル層または領域163を形成し、この層または領域163をn型にドープするステップと、
層または領域163上に圧縮歪みSi1−w−qGeエピタキシャル層または領域164を形成し、この圧縮歪みSi1−w−qGe層または領域164を、1×1019原子/cmよりも高い濃度レベルでp型にドープするステップと、
Si1−w−qGe層または領域164上にシリコン・エピタキシャル層または領域410を形成し、このシリコン層または領域410を、1×1019cm−3よりも高い濃度レベルでp型にドープするステップと、
第1のシリコン層または領域162、第2の層である炭素ドープ層または領域210、第3の層であるシリコン層または領域163、第4のSi1−w−qGe層または領域164、および第5のシリコン層または領域410を含む、垂直カラム構造5000を形成するステップと、
上記垂直カラム構造5000の外周表面に歪みSi1−sGe層または領域165を形成するステップと、
上記層または領域165の外周表面に誘電体層または領域166を形成するステップと、
上記誘電体層または領域166の外周表面に導電層または領域167を形成するステップと
を含む。
上記方法はさらに、
垂直カラム構造4001の全体の表面および上方にブランケット誘電体68層を形成するステップと、
前記n型シリコン層62に接触するように上記ブランケット誘電体層68を貫通させて導電層または領域69を形成するステップと、
上記垂直カラム構造4001の上面で前記シリコン層または領域450に接触するように上記ブランケット誘電体層68を貫通させて導電層または領域70を形成するステップと、
垂直カラム構造4001の外周表面の導電層または領域67に接触するように上記ブランケット誘電体層68を貫通させて導電層または領域71を形成するステップと、
垂直カラム構造6000の全体の表面および上方にブランケット誘電体層168を形成するステップと、
p型シリコン層または領域162に接触するように上記ブランケット誘電体層168を貫通させて導電層または領域169を形成するステップと、
上記垂直構造5000の上面でp型シリコン層または領域410に接触するように上記ブランケット誘電体層168を貫通させて導電層または領域170を形成するステップと、
垂直カラム構造5000の外周表面の導電層または領域167に接触するように上記ブランケット誘電体層168を貫通させて導電層または領域171を形成するステップと、
デバイス分離としての機能を果たすように、2つの垂直なカラム構造4001と6000との間の層61上に誘電体領域148を形成するステップと
を含んでよい。
垂直カラム3001の側壁は、平面(100)内にありかつ基板平面に垂直であることが好ましい。
垂直カラム5000の側壁は、平面(110)内にありかつ基板平面に垂直であることが好ましい。
図11は、第2の実施形態である垂直CMOS 362を示すが、nMOSFET 374を除き図10と同様である。nMOSFET 374は、緩和SiGe本体63の表面に構築された引張り歪みシリコン・チャネル65を有する。本体63は、事実上の基板62に対して緩和されている。引張り歪みシリコンをチャネルとして有することの利点は、電子移動度が高くなることである。メサ3001の向きを図9に示すが、pチャネルは平面(110)内にありnチャネルは平面(100)内にあって、正孔および電子の移動度が高くなる。
垂直電界効果CMOSトランジスタで作製されたインバータの作製方法は以下の通りであり、すなわち
第1の単結晶基板61上に緩和Si1−iGeエピタキシャル層または領域62を形成し、このSi1−iGeエピタキシャル層または領域62を、1×1019原子/cmよりも高い濃度レベルでn型にドープするステップと、
n型Si1−iGe層または領域62上に炭素ドープSiGeエピタキシャル層または領域300を形成し、このシリコン・エピタキシャル層または領域300を、1×1019原子/cmよりも高い濃度レベルでn型にドープするステップと、
炭素ドープSiGe層300上に緩和Si1−iGeエピタキシャル層または領域63を形成し、このシリコン・エピタキシャル層63をp型にドープするステップと、
p型Si1−iGe層63上に引張り歪みシリコン・エピタキシャル層または領域64を形成し、この歪みシリコン層64を、1×1019原子/cmよりも高い濃度レベルでn型にドープするステップと、
n型歪みシリコン層64上に緩和Si1−iGe層または領域450を形成し、このSi1−iGe層450を、1×1019原子/cmよりも高い濃度レベルでn型にドープするステップと、
緩和Si1−iGe層62から、第2の層である炭素ドープSiGe層300表面、第3のp型緩和Si1−iGe層63表面、第4の歪みシリコン層64表面を経て、SiGe層450に延びる、少なくとも1つの側壁を含んだ垂直カラム構造3001を形成するステップと、
垂直構造3001の少なくとも1つの側壁の領域表面に、歪みシリコン層または領域65を形成するステップと、
シリコン層または領域65表面に誘電体層または領域66を形成するステップと、
誘電体層または領域66表面に導電層または領域67を形成するステップと、
近くの領域をマスキングしてエッチングし、単結晶基板161を露出させるステップと、
第1の単結晶基板161上に、1×1019原子/cmよりも高い濃度レベルのp型シリコン層または領域162を形成するステップと、
層または領域162上に炭素ドープエピタキシャル層または領域210を形成し、この層210を、1×1019原子/cmよりも高い濃度レベルでp型にドープするステップと、
層210上にシリコン・エピタキシャル層または領域163を形成し、この層163をn型にドープするステップと、
層163上に圧縮歪みSi1−w−qGeエピタキシャル層または領域164を形成し、このSi1−w−qGe層164を、1×1019原子/cmよりも高い濃度レベルでp型にドープするステップと、
Si1−w−qGe層164上にシリコン・エピタキシャル層または領域410を形成し、このシリコン層410を、1×1019cm−3よりも高い濃度レベルでp型にドープするステップと、
第1のシリコン層162、第2の層である炭素ドープ層210、第3の層であるシリコン層163、第4のSi1−w−qGe層164、および第5のシリコン層410を含む、垂直カラム構造5000を形成するステップと、
上記垂直カラム構造5000の外周表面に歪みSi1−sGe層または領域165を形成するステップと、
上記層165の外周表面に誘電体層または領域166を形成するステップと、
上記誘電体層166の外周表面に導電層または領域167を形成するステップとを含む。
上記方法はさらに、
垂直カラム構造4001全体の表面および上方にブランケット誘電体68層を形成するステップと、
前記n型シリコン層または領域62に接触するように上記ブランケット誘電体層68を貫通させて導電層または領域69を形成するステップと、
上記垂直カラム構造4001の上面で前記シリコン層450に接触するように上記ブランケット誘電体層68を貫通させて導電層または領域70を形成するステップと、
垂直カラム構造4001の外周表面にある導電層または領域67に接触するように上記ブランケット誘電体層68を貫通させて導電層または領域71を形成するステップと、
垂直カラム構造6000の全体の表面および上方にブランケット誘電体層168を形成するステップと、
p型シリコン層または領域162に接触するように上記ブランケット誘電体層168を貫通させて導電層または領域169を形成するステップと、
上記垂直カラム構造2001の上面でp型シリコン410に接触するように上記ブランケット誘電体層168を貫通させて導電層または領域170を形成するステップと、
垂直カラム構造5000の外周表面にある導電層167に接触するように上記ブランケット誘電体層168を貫通させて導電層または領域171を形成するステップと、
デバイス分離としての機能を果たすよう、2つの垂直カラム構造4001と6000との間の層61上に誘電体領域148を形成するステップと
を含んでよい。
垂直カラム3001の側壁は、平面(100)内にありかつ基板平面に垂直であることが好ましい。
垂直カラム5000の側壁は、平面(110)内にありかつ基板平面に垂直であることが好ましい。
好ましい実施形態によれば、本発明はさらに、垂直高移動度ヘテロ接合pMISFETのプロセス・インテグレーションのスキームを含み、すなわち
in−situドーピングを行いまたは行わずに、ドレイン、本体、およびソース用のいくつかの層を積み重ねたものをエピタキシャル成長させること、
パターニング/エッチングを行って、メサ5000、またはペデスタル、またはピロー、またはカラム、またはフィン構造を形成すること、
メサ、ペデスタル、ピロー、カラム、またはフィン構造の側壁表面に、チャネル層165、必要ならキャップ層をエピタキシャル成長させること、
酸化物、酸窒化物、その他の高誘電率誘電体、またはこれらの組合せでよい絶縁体層を成長させまたは堆積させること、
メサ、またはペデスタル、またはピロー、またはカラム、またはフィン構造の側壁表面に、ポリシリコン、ポリSiGe、または金属でよいゲート電極層を成長させまたは堆積させること、
ゲート電極をパターニング/エッチングし、最終の垂直構造6000を形成すること、
ソース、ドレイン、本体、あるいはポリSiまたはポリSiGeゲート電極がin−situドープされていない場合はイオン注入し、アニーリングを行うこと、
ゲートをパターニングしエッチングすること、
フィールド酸化膜を堆積すること、
コンタクトを開口すること、
ソース/ドレインのシリサイド化を行うこと、および
メタライゼーションおよび金属焼結を行うことを含む。
図面において、同様の要素または構成部品は、同様のかつ対応する参照符号により示すことに留意されたい。
高移動度チャネルと、好ましくはソースまたはドレインあるいはその両方の接合部に一致したヘテロ接合とを含んだ半導体デバイスについて、記述し例示してきたが、上述の特許請求の範囲のみによって限定される本発明の広い範囲から逸脱することなく修正例および変形例が可能であることが、当業者に明らかにされよう。
立方晶Si上の圧縮歪みSiGeまたはSiGe(C)のエネルギー・バンド図である。 立方晶Si上の引張り歪みSiCのエネルギー・バンド図である。 緩和SiGeバッファ上の引張り歪みSiのエネルギー・バンド図である。 垂直チャネルMOSFETの平面図である。 炭素を含有する拡散障壁層と、緩和シリコン層および歪みSiGeC層からなる複合体ソース領域とを備えた、垂直圧縮歪みSiGe/SiまたはSiGeC/Si表面チャネルpMOSFETの断面図である。 炭素を含有する拡散障壁層と、緩和シリコン層および歪みSiGeC層からなる複合体ソース領域とを備えた、垂直圧縮歪みSiGe/SiまたはSiGeC/Si埋込み型チャネルpMOSFETの断面図である。 ソースとドレインの両方の接合部にヘテロ接合を有する、垂直圧縮歪みSiGe/SiまたはSiGeC/Si表面チャネルpMOSFETの断面図である。 (100)基板上に設けた垂直CMOSインバータのメサ構造または垂直構造の向きを示す平面図である。 (100)基板上に設けた垂直CMOSインバータのメサ構造または垂直構造の向きを示す平面図である。 本発明による、nMOSFETの引張り歪みSiCソース/ドレインとpMOSFETの圧縮歪みSiGeCソース/ドレインを備えた、垂直CMOSの断面図である。 本発明による、nMOSFETの引張り歪みSiソース/ドレインとpMOSFETの圧縮歪みSiGeCソース/ドレインを備えた、垂直CMOSの断面図である。
符号の説明
160 垂直pMOSFET
162 ドレイン
163 本体
164 ソース
165 チャネル
166 絶縁体
167 ゲート

Claims (63)

  1. 第1の基板上に、1×1019原子/cm3よりも高い濃度レベルの第1のp型単結晶シリコン領域を提供するステップと、
    前記第1のp型単結晶シリコン領域上に、第2の炭素ドープ・エピタキシャル領域を形成し、前記第2の炭素ドープ・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第2の炭素ドープ・エピタキシャル領域上に第3のシリコン領域を形成し、前記第3のシリコン領域をn型にドープするステップと、
    前記第3のシリコン領域上に第4の圧縮歪みSi1-w-qGewqエピタキシャル領域を形成し、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域上に第5のシリコン含有領域を形成し、前記第5のシリコン含有領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第1のp型単結晶シリコン領域から、前記第2の炭素ドープ・エピタキシャル領域、前記第3のシリコン領域、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域、および前記第5のシリコン含有領域に延びる、少なくとも1つの側壁を含んだ垂直構造を形成するステップと、
    前記第2の炭素ドープ・エピタキシャル領域から、前記第3のシリコン領域表面を経て前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域に延びる、前記垂直構造の前記少なくとも1つの側壁の領域表面に、第6の圧縮歪みSi1-sGes領域を形成するステップと
    を含む、電界効果トランジスタの垂直チャネルの作製方法。
  2. 前記第6の圧縮歪みSi1-sGes領域の表面にゲート誘電体領域を形成するステップと、
    前記ゲート誘電体領域の表面に導電性領域を形成するステップと
    をさらに含む、請求項1に記載の作製方法。
  3. 前記垂直構造全体の表面および上方にブランケット誘電体層を形成するステップと、
    前記第1のp型単結晶シリコン領域に接触するように前記ブランケット誘電体層を貫通させて第1の導電性バイアを形成するステップと、
    前記垂直構造の上面で前記第5のシリコン含有領域に接触するように前記ブランケット誘電体層を貫通させて第2の導電性バイアを形成するステップと、
    前記導電性領域に接触するように前記ブランケット誘電体層を貫通させて第3の導電性バイアを形成するステップと
    をさらに含む、請求項2に記載の作製方法。
  4. 前記第1のp型単結晶シリコン領域を提供するステップが、イオン注入およびその後のアニーリング、またはin situドーピングからなる群から選択されたプロセスによって、p型にドープするステップである、請求項1に記載の作製方法。
  5. 前記第5のシリコン含有領域が、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域の上面に対して緩和している、請求項1に記載の作製方法。
  6. 前記第5のシリコン含有領域が単結晶シリコンまたはポリシリコンまたはポリSiGeでよい、請求項1に記載の作製方法。
  7. 前記側壁が(110)平面内にあり、前記第1の基板の主面に垂直である、請求項1に記載の作製方法。
  8. 前記ゲート誘電体領域が、シリコンの酸化物、窒化物、酸窒化物と、Hf、Al、Zr、La、Y、Taの酸化物およびシリケートのそれぞれまたは組合せからなる群から選択される、請求項2に記載の作製方法。
  9. 前記導電性領域が、金属、金属ケイ化物、ドープ型ポリシリコン、およびドープ型ポリSiGeからなる群から選択される、請求項2に記載の作製方法。
  10. 前記第2の炭素ドープ・エピタキシャル領域を、1×1019〜1×1021原子/cm3の範囲でp型にドープする、請求項1に記載の作製方法。
  11. 前記第5のシリコン含有領域を、1×1019〜1×1021原子/cm3の範囲内でp型にドープする、請求項1に記載の作製方法。
  12. 第1の基板上に、1×1019原子/cm3よりも高い濃度レベルの第1のp型単結晶シリコン領域を提供するステップと、
    前記第1のp型単結晶シリコン領域上に、第2の炭素ドープ・エピタキシャル領域を形成し、前記第2の炭素ドープ・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第2の炭素ドープ・エピタキシャル領域上に第3のシリコン領域を形成し、前記第3のシリコン領域をn型にドープするステップと、
    前記第3のシリコン領域上に第4の圧縮歪みSi1-w-qGewqエピタキシャル領域を形成し、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域上に第5のシリコン含有領域を形成し、前記第5のシリコン含有領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第1のp型単結晶シリコン領域から、前記第2の炭素ドープ・エピタキシャル領域、前記第3のシリコン領域、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域、および前記第5のシリコン含有領域に延びる、少なくとも1つの側壁を含んだ垂直構造を形成するステップと、
    前記第2の炭素ドープ・エピタキシャル領域から、前記第3のシリコン領域表面を経て前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域に延びる、前記垂直構造の前記少なくとも1つの側壁の領域表面に、第6の圧縮歪みSi1-sGes領域を形成するステップと、
    前記第6の圧縮歪みSi1-sGes領域表面に第7のシリコン領域を形成するステップと
    を含む、電界効果トランジスタの垂直チャネルの作製方法。
  13. 前記第7のシリコン領域表面にゲート誘電体領域を形成するステップと、
    前記ゲート誘電体領域の表面に導電性領域を形成するステップと
    をさらに含む、請求項12に記載の作製方法。
  14. 前記垂直構造全体の表面および上方にブランケット誘電体層を形成するステップと、
    前記第1のp型単結晶シリコン領域に接触するように前記ブランケット誘電体層を貫通させて第1の導電性バイアを形成するステップと、
    前記垂直構造の上面で前記第5のシリコン含有領域に接触するように前記ブランケット誘電体層を貫通させて第2の導電性バイアを形成するステップと、
    前記導電性領域に接触するように前記ブランケット誘電体層を貫通させて第3の導電性バイアを形成するステップと
    をさらに含む、請求項13に記載の作製方法。
  15. 前記第1のp型単結晶シリコン領域を提供するステップが、イオン注入およびその後のアニーリング、およびin situドーピングからなる群から選択されたプロセスによって、p型にドープするステップである、請求項12に記載の作製方法。
  16. 前記第5のシリコン含有領域が、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域の上面に対して緩和している、請求項12に記載の作製方法。
  17. 前記第5のシリコン含有領域が、単結晶シリコンまたはポリシリコンまたはポリSiGeでよい、請求項12に記載の作製方法。
  18. 前記側壁が(110)平面内にあり、前記第1の基板の主面に垂直である、請求項12に記載の作製方法。
  19. 前記ゲート誘電体領域が、シリコンの酸化物、窒化物、酸窒化物と、Hf、Al、Zr、La、Y、Taの酸化物およびシリケートのそれぞれまたは組合せからなる群から選択される、請求項13に記載の作製方法。
  20. 前記導電性領域が、金属、金属ケイ化物、ドープ型ポリシリコン、およびドープ型ポリSiGeからなる群から選択される、請求項13に記載の作製方法。
  21. 前記第2の炭素ドープ・エピタキシャル領域を、1×1019〜1×1021原子/cm3の範囲でp型にドープする、請求項12に記載の作製方法。
  22. 前記第5のシリコン含有領域を、1×1019〜1×1021原子/cm3の範囲内でp型にドープする、請求項12に記載の作製方法。
  23. 第1の基板上に、1×1019原子/cm3よりも高い濃度レベルの第1のp型単結晶シリコン領域を提供するステップと、
    前記第1のp型単結晶シリコン領域上に、第2の圧縮歪みSi1-x-yGexyエピタキシャル領域を形成し、前記第2の圧縮歪みSi1-x-yGexyエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第2の圧縮歪みSi1-x-yGexyエピタキシャル領域上に第3のシリコン・エピタキシャル領域を形成し、前記第3のシリコン・エピタキシャル領域をn型にドープするステップと、
    前記第3のシリコン・エピタキシャル領域上に第4の圧縮歪みSi1-w-qGewqエピタキシャル領域を形成し、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域上に第5のシリコン含有領域を形成し、前記第5のシリコン含有領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第1のp型単結晶シリコン領域から、前記第2の圧縮歪みSi1-x-yGexyエピタキシャル領域、前記第3のシリコン・エピタキシャル領域、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域、および前記第5のシリコン含有領域に延びる、少なくとも1つの側壁を含んだ垂直構造を形成するステップと、
    前記第2の圧縮歪みSi1-x-yGexyエピタキシャル領域から、前記第3のシリコン・エピタキシャル領域表面を経て前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域に延びる、前記垂直構造の前記少なくとも1つの側壁の領域表面に、第6の圧縮歪みSi1-sGes領域を形成するステップと
    を含む、電界効果トランジスタの垂直チャネルの作製方法。
  24. 前記第6の圧縮歪みSi1-sGes領域の表面にゲート誘電体領域を形成するステップと、
    前記ゲート誘電体領域の表面に導電性領域を形成するステップと
    をさらに含む、請求項23に記載の作製方法。
  25. 前記垂直構造全体の表面および上方にブランケット誘電体層を形成するステップと、
    前記第1のp型単結晶シリコン領域に接触するように前記ブランケット誘電体層を貫通させて第1の導電性バイアを形成するステップと、
    前記垂直構造の上面で前記第5のシリコン含有領域に接触するように前記ブランケット誘電体層を貫通させて第2の導電性バイアを形成するステップと、
    前記導電性領域に接触するように前記ブランケット誘電体層を貫通させて第3の導電性バイアを形成するステップと
    をさらに含む、請求項24に記載の作製方法。
  26. 前記第3のシリコン・エピタキシャル領域をn型にドープするステップが、前記第3のシリコン・エピタキシャル領域を、イオン注入およびその後のアニーリング、およびin situドーピングからなる群から選択されたプロセスによって、n型にドープするステップである、請求項23に記載の作製方法。
  27. 前記第5のシリコン含有領域が、前記第4の圧縮歪みSi1-w-qGewqエピタキシャル領域の上面に対して緩和している、請求項23に記載の作製方法。
  28. 前記第5のシリコン含有領域が、単結晶シリコンまたはポリシリコンまたはポリSiGeでよい、請求項23に記載の作製方法。
  29. 前記側壁が(110)平面内にあり、前記第1の基板の主面に垂直である、請求項23に記載の作製方法。
  30. 前記ゲート誘電体領域が、シリコンの酸化物、窒化物、酸窒化物と、Hf、Al、Zr、La、Y、Taの酸化物およびシリケートのそれぞれまたは組合せからなる群から選択される、請求項24に記載の作製方法。
  31. 前記導電性領域が、金属、金属ケイ化物、ドープ型ポリシリコン、およびドープ型ポリSiGeからなる群から選択される、請求項24に記載の作製方法。
  32. 前記第2の圧縮歪みSi1-x-yGexyエピタキシャル領域を、1×1019〜1×1021原子/cm3の範囲内でp型にドープする、請求項23に記載の作製方法。
  33. 前記第5のシリコン含有領域を、1×1019〜1×1021原子/cm3の範囲内でp型にドープする、請求項23に記載の作製方法。
  34. 第1の単結晶基板上に第1のシリコン・エピタキシャル領域を形成し、前記第1のシリコン・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでn型にドープして、第1のn型シリコン・エピタキシャル領域を提供するステップと、
    前記第1のn型シリコン・エピタキシャル領域上に、第2のSi1-i-jGeijエピタキシャル領域を形成するステップと、
    前記第2のSi1-i-jGeijエピタキシャル領域上に第3のシリコン・エピタキシャル領域を形成し、前記第3のシリコン・エピタキシャル領域をp型にドープして、第3のp型シリコン・エピタキシャル領域を提供するステップと、
    前記第3のp型シリコン・エピタキシャル領域上に第4の歪みSi1-yyエピタキシャル領域を形成し、前記第4の歪みSi1-yyエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでn型にドープして、第4のn型歪みSi1-yyエピタキシャル領域を提供するステップと、
    前記第4のn型歪みSi1-yyエピタキシャル領域上に第5のシリコン含有領域を形成し、前記第5のシリコン含有領域を、1×1019原子/cm3よりも高い濃度レベルでn型にドープするステップと、
    前記第1のn型シリコン・エピタキシャル領域から、前記第2のSi1-i-jGeijエピタキシャル領域表面、前記第3のp型シリコン・エピタキシャル領域表面、前記第4のn型歪みSi1-yyエピタキシャル領域表面を経て、前記第5のシリコン含有領域に延びた少なくとも1つの側壁を含む、第1の垂直構造を形成するステップと、
    前記第1の垂直構造の前記少なくとも1つの側壁の領域表面に第6のシリコン領域を形成するステップと、
    前記第6のシリコン領域の表面に第1のゲート誘電体領域を形成するステップと、
    前記第1のゲート誘電体領域の表面に第1のゲート導電性領域を形成するステップと、
    近くの領域をマスキングしエッチングして、前記第1の単結晶基板を露出させるステップと、
    前記第1の単結晶基板上に、1×1019原子/cm3よりも高い濃度レベルの第7のp型シリコン領域を形成するステップと、
    前記第7のp型シリコン領域上に第8の炭素ドープ・エピタキシャル領域を形成し、前記第8の炭素ドープ・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第8の炭素ドープ・エピタキシャル領域上に第9のシリコン・エピタキシャル領域を形成し、前記第9のシリコン・エピタキシャル領域をn型にドープするステップと、
    前記第9のシリコン・エピタキシャル領域上に第10の圧縮歪みSi1-w-qGewqエピタキシャル領域を形成し、前記第10の圧縮歪みSi1-w-qGewqエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第10の圧縮歪みSi1-w-qGewqエピタキシャル領域上に第11のシリコン・エピタキシャル領域を形成し、前記第11のシリコン・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープするステップと、
    前記第7のp型シリコン領域、前記第8の炭素ドープ・エピタキシャル領域、前記第9のシリコン・エピタキシャル領域、前記第10の圧縮歪みSi1-w-qGewqエピタキシャル領域、および前記第11のシリコン・エピタキシャル領域を含む、第2の垂直構造を形成するステップと、
    前記第2の垂直構造の外周表面に第12の歪みSi1-sGes領域を形成するステップと、
    前記第12の歪みSi1-sGes領域の外周表面に第2のゲート誘電体領域を形成するステップと、
    前記第2のゲート誘電体領域の外周表面に第2のゲート導電性領域を形成するステップと
    を含む、垂直電界効果CMOSトランジスタで形成されたインバータの作製方法。
  35. 前記第1の垂直構造全体の表面および上方に第1のブランケット誘電体層を形成するステップと、
    前記第1のn型シリコン・エピタキシャル領域に接触するように前記第1のブランケット誘電体層を貫通させて第1の導電性領域を形成するステップと、
    前記第1の垂直構造の上面で前記第5のシリコン含有領域に接触するように前記第1のブランケット誘電体層を貫通させて第2の導電性領域を形成するステップと、
    前記第1の垂直構造の外周表面の導電性領域に接触するように前記第1のブランケット誘電体層を貫通させて第3の導電性領域を形成するステップと、
    前記第2の垂直構造全体の表面および上方に第2のブランケット誘電体層を形成するステップと、
    前記第7のp型シリコン領域に接触するように前記第2のブランケット誘電体層を貫通させて第4の導電性領域を形成するステップと、
    前記第2の垂直構造の上面で前記第11のシリコン・エピタキシャル領域に接触するように前記第2のブランケット誘電体層を貫通させて第5の導電性領域を形成するステップと、
    前記第2の垂直構造の外周表面の前記第2のゲート導電性領域に接触するように前記第2のブランケット誘電体層を貫通させて第6の導電性領域を形成するステップと、
    デバイス分離としての機能を果たすように、前記第1の垂直構造と前記第2の垂直構造との間の前記第1の単結晶基板上に第3の誘電体領域を形成するステップと
    をさらに含む、請求項34に記載の作製方法。
  36. 導電性材料を介して、前記第4の導電性領域を前記第1の導電性領域に結合し、前記第6の導電性領域を前記第3の導電性領域に結合する、請求項35に記載の作製方法。
  37. 前記第1の垂直構造の前記側壁が(100)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項34に記載の作製方法。
  38. 前記第2の垂直構造の前記側壁が(110)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項34に記載の作製方法。
  39. 第1の単結晶基板上に第1の緩和Si1-iGeiエピタキシャル領域を形成し、前記第1の緩和Si1-iGeiエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでn型にドープして、第1のn型緩和Si1-iGeiエピタキシャル領域を提供するステップと、
    前記第1のn型緩和Si1-iGeiエピタキシャル領域上に、第2の炭素ドープSiGeエピタキシャル領域を形成し、前記第2の炭素ドープSiGeエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでn型にドープして、第2のn型炭素ドープSiGeエピタキシャル領域を提供するステップと、
    前記第2のn型炭素ドープSiGeエピタキシャル領域上に第3の緩和Si1-iGeiエピタキシャル領域を形成し、前記第3の緩和Si1-iGeiエピタキシャル領域をp型にドープして、第3のp型緩和Si1-iGeiエピタキシャル領域を提供するステップと、
    前記第3のp型緩和Si1-iGeiエピタキシャル領域上に第4の引張り歪みシリコン・エピタキシャル領域を形成し、前記第4の引張り歪みシリコン・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでn型にドープして、第4のn型引張り歪みシリコン・エピタキシャル領域を提供するステップと、
    前記第4のn型引張り歪みシリコン・エピタキシャル領域上に第5の緩和Si1-iGei領域を形成し、前記第5の緩和Si1-iGei領域を、1×1019原子/cm3よりも高い濃度レベルでn型にドープして、第5のn型緩和Si1-iGei領域を提供するステップと、
    前記第1のn型緩和Si1-iGeiエピタキシャル領域から、前記第2のn型炭素ドープSiGeエピタキシャル領域表面、前記第3のp型緩和Si1-iGeiエピタキシャル領域表面、前記第4のn型引張り歪みシリコン・エピタキシャル領域表面を経て、前記第5のn型緩和Si1-iGei領域に延びた少なくとも1つの側壁を含む、第1の垂直構造を形成するステップと、
    前記第1の垂直構造の前記少なくとも1つの側壁の領域表面に第6の歪みシリコン領域を形成するステップと、
    前記第6の歪みシリコン領域の表面に第1のゲート誘電体領域を形成するステップと、
    前記第1のゲート誘電体領域の表面に第1のゲート導電性領域を形成するステップと、
    近くの領域をマスキングしエッチングして、前記第1の単結晶基板を露出させるステップと、
    前記第1の単結晶基板上に、1×1019原子/cm3よりも高い濃度レベルの第7のp型シリコン領域を形成するステップと、
    前記第7のp型シリコン領域上に第8の炭素ドープ・エピタキシャル領域を形成し、前記第8の炭素ドープ・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープして、第8のp型炭素ドープ・エピタキシャル領域を提供するステップと、
    前記第8のp型炭素ドープ・エピタキシャル領域上に第9のシリコン・エピタキシャル領域を形成し、前記第9のシリコン・エピタキシャル領域をn型にドープして、第9のn型シリコン・エピタキシャル領域を提供するステップと、
    前記第9のn型シリコン・エピタキシャル領域上に第10の圧縮歪みSi1-w-qGewqエピタキシャル領域を形成し、前記第10の圧縮歪みSi1-w-qGewqエピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープして、第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域を提供するステップと、
    前記第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域上に第11のシリコン・エピタキシャル領域を形成し、前記第11のシリコン・エピタキシャル領域を、1×1019原子/cm3よりも高い濃度レベルでp型にドープして、第11のp型シリコン・エピタキシャル領域を提供するステップと、
    前記第7のp型シリコン領域、前記第8のp型炭素ドープ・エピタキシャル領域、前記第9のn型シリコン・エピタキシャル領域、前記第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域、および前記第11のp型シリコン・エピタキシャル領域を含む、第2の垂直構造を形成するステップと、
    前記第2の垂直構造の外周表面に第12の歪みSi1-sGes領域を形成するステップと、
    前記第12の歪みSi1-sGes領域の外周表面に第2のゲート誘電体領域を形成するステップと、
    前記第2のゲート誘電体領域の外周表面に第2のゲート導電性領域を形成するステップと
    を含む、垂直電界効果CMOSトランジスタで形成されたインバータの作製方法。
  40. 前記第1の垂直構造全体の表面および上方に第1のブランケット誘電体層を形成するステップと、
    前記第1のn型緩和Si1-iGeiエピタキシャル領域に接触するように前記第1のブランケット誘電体層を貫通させて第1の導電性領域を形成するステップと、
    前記第1の垂直構造の上面で前記第5のn型緩和Si1-iGei領域に接触するように前記第1のブランケット誘電体層を貫通させて第2の導電性領域を形成するステップと、
    前記第1の垂直構造の外周表面の導電性領域に接触するように前記第1のブランケット誘電体層を貫通させて第3の導電性領域を形成するステップと、
    前記第2の垂直構造全体の表面および上方に第2のブランケット誘電体層を形成するステップと、
    前記第7のp型シリコン領域に接触するように前記第2のブランケット誘電体層を貫通させて第4の導電性領域を形成するステップと、
    前記第2の垂直構造の上面で前記第11のp型シリコン・エピタキシャル領域に接触するように前記第2のブランケット誘電体層を貫通させて第5の導電性領域を形成するステップと、
    前記第2の垂直構造の外周表面の前記第2のゲート導電性領域に接触するように前記第2のブランケット誘電体層を貫通させて第6の導電性領域を形成するステップと、
    デバイス分離としての機能を果たすように、前記第1の垂直構造と前記第2の垂直構造との間の前記第1の単結晶基板上に第3の誘電体領域を形成するステップと
    をさらに含む、請求項39に記載の作製方法。
  41. 導電性材料を介して、前記第4の導電性領域を前記第1の導電性領域に結合し、前記第6の導電性領域を前記第3の導電性領域に結合する、請求項40に記載の作製方法。
  42. 前記第1の垂直構造の前記側壁が(100)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項39に記載の作製方法。
  43. 前記第2の垂直構造の前記側壁が(110)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項39に記載の作製方法。
  44. 基板と、
    前記基板上の、p型濃度レベルが1×1019原子/cm3よりも高い第1のp型単結晶シリコン領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い前記第1のp型単結晶シリコン領域上の第2のp型炭素ドープ・エピタキシャル領域と、
    n型にドープされた、前記第2のp型炭素ドープ・エピタキシャル領域上の第3のn型シリコン・エピタキシャル領域と、
    前記第3のn型シリコン・エピタキシャル領域上の、p型濃度レベルが1×1019原子/cm3よりも高い第4のp型圧縮歪みSi1-w-qGewqエピタキシャル領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い、前記第4のp型圧縮歪みSi1-w-qGewqエピタキシャル領域上の第5のp型シリコン含有領域と、
    前記第1のp型単結晶シリコン領域から、前記第2のp型炭素ドープ・エピタキシャル領域、前記第3のn型シリコン・エピタキシャル領域、前記第4のp型圧縮歪みSi1-w-qGewqエピタキシャル領域を経て前記第5のp型シリコン含有領域に延びる、少なくとも1つの側壁を含んだ垂直構造と、
    前記第2のp型炭素ドープ・エピタキシャル領域から、前記第3のn型シリコン・エピタキシャル領域表面を経て前記第4のp型圧縮歪みSi1-w-qGewqエピタキシャル領域に延びる、前記垂直構造の前記少なくとも1つの側壁の領域表面にある、第6の圧縮歪みSi1-sGes領域と、
    前記第6の圧縮歪みSi1-sGes領域表面のゲート誘電体領域と、
    前記ゲート誘電体領域表面のゲート導電性領域と
    を含む、電界効果トランジスタ。
  45. 前記垂直構造の表面及び上方にあるブランケット誘電体層と、
    前記第1のp型単結晶シリコン領域に接触するように前記ブランケット誘電体層を貫通させた第1の導電性バイアと、
    前記垂直構造の上面で前記第5のp型シリコン含有領域に接触するように前記ブランケット誘電体層を貫通させた第2の導電性バイアと、
    前記ゲート導電性領域に接触するように前記ブランケット誘電体層を貫通させた第3の導電性バイアと
    をさらに含む、請求項44に記載の電界効果トランジスタ。
  46. 前記第5のp型シリコン含有領域が、前記第4のp型圧縮歪みSi1-w-qGewqエピタキシャル領域の上面に対して緩和されている、請求項44に記載の電界効果トランジスタ。
  47. 前記第5のp型シリコン含有領域が、単結晶シリコン、ポリシリコン、およびポリSiGeからなる群から選択される、請求項44に記載の電界効果トランジスタ。
  48. 前記垂直構造の前記側壁が(110)平面内にあり、前記基板の主面に垂直である、請求項44に記載の電界効果トランジスタ。
  49. 前記ゲート誘電体領域が、シリコンの酸化物、窒化物、酸窒化物と、Hf、Al、Zr、La、Y、Taの酸化物およびシリケートのそれぞれまたは組合せからなる群から選択される、請求項44に記載の電界効果トランジスタ。
  50. 前記ゲート導電性領域が、金属、金属ケイ化物、ドープ型ポリシリコン、およびドープ型ポリSiGeからなる群から選択される、請求項44に記載の電界効果トランジスタ。
  51. 前記第2のp型炭素ドープ・エピタキシャル領域が、1×1019〜1×1021原子/cm3の範囲でp型にドープされている、請求項44に記載の電界効果トランジスタ。
  52. 前記第5のp型シリコン含有領域が、1×1019〜1×1021原子/cm3の範囲でp型にドープされている、請求項44に記載の電界効果トランジスタ。
  53. 前記第6の圧縮歪みSi1-sGes領域の表面および前記ゲート誘電体領域の下に第7のシリコン領域をさらに含む、請求項44に記載の電界効果トランジスタ。
  54. n型濃度レベルが1×1019原子/cm3よりも高い、第1の単結晶基板上の第1のn型シリコン・エピタキシャル領域と、
    前記第1のn型シリコン・エピタキシャル領域上の第2のSi1-i-jGeijエピタキシャル領域と、
    p型にドープされた、前記第2のSi1-i-jGeijエピタキシャル領域上の第3のp型シリコン・エピタキシャル領域と、
    n型濃度レベルが1×1019原子/cm3よりも高い、前記第3のp型シリコン・エピタキシャル領域上の第4のn型歪みSi1-yyエピタキシャル領域と、
    n型濃度レベルが1×1019原子/cm3よりも高い、前記第4のn型歪みSi1-yyエピタキシャル領域上の、単結晶シリコン、ポリシリコン、およびポリSiGeからなる群から選択された第5のn型シリコン含有領域と、
    前記第1のn型シリコン・エピタキシャル領域から、前記第2のSi1-i-jGeijエピタキシャル領域表面、前記第3のp型シリコン・エピタキシャル領域表面、前記第4のn型歪みSi1-yyエピタキシャル領域表面を経て、前記第5のn型シリコン含有領域に延びる、少なくとも1つの側壁を含んだ第1の垂直構造と、
    前記第1の垂直構造の前記少なくとも1つの側壁の領域表面にある第6のシリコン領域と、
    前記第6のシリコン領域表面の第1のゲート誘電体領域と、
    前記第1のゲート誘電体領域表面の第1のゲート導電性領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い、前記第1の単結晶基板上の第7のp型シリコン・エピタキシャル領域と、
    p型の濃度レベルが1×1019原子/cm3よりも高い、前記第7のp型シリコン・エピタキシャル領域上の第8のp型炭素ドープ・エピタキシャル領域と、
    n型にドープされた、前記第8のp型炭素ドープ・エピタキシャル領域上の第9のn型シリコン・エピタキシャル領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い、前記第9のn型シリコン・エピタキシャル領域上の第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い、前記第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域上の、単結晶シリコン、ポリシリコン、およびポリSiGeからなる群から選択された第11のp型シリコン含有領域と、
    前記第7のp型シリコン・エピタキシャル領域から、前記第8のp型炭素ドープ・エピタキシャル領域、前記第9のn型シリコン・エピタキシャル領域、前記第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域を経て前記第11のp型シリコン含有領域に延びる、少なくとも1つの側壁を含んだ第2の垂直構造と、
    前記第2の垂直構造の前記少なくとも1つの側壁の領域表面にある第12の歪みSi1-sGes領域と、
    前記第12の歪みSi1-sGes領域表面の第2のゲート誘電体領域と、
    前記第2のゲート誘電体領域表面の第2のゲート導電性領域と
    を含む、インバータ。
  55. 前記第1の垂直構造の表面および上方にある第1のブランケット誘電体層と、
    前記第1のn型シリコン・エピタキシャル領域に接触するように前記第1のブランケット誘電体層を貫通させた第1の導電性バイアと、
    前記第1の垂直構造の上面で前記第5のn型シリコン含有領域に接触するように前記第1のブランケット誘電体層を貫通させた第2の導電性バイアと、
    前記第1のゲート導電性領域に接触するように前記第1のブランケット誘電体層を貫通させた第3の導電性バイアと、
    前記第2の垂直構造の表面および上方にある第2のブランケット誘電体層と、
    前記第7のp型シリコン・エピタキシャル領域に接触するように前記第2のブランケット誘電体層を貫通させた第4の導電性バイアと、
    前記第2の垂直構造の上面で前記第11のp型シリコン含有領域に接触するように前記第2のブランケット誘電体層を貫通させた第5の導電性バイアと、
    前記第2のゲート導電性領域に接触するように前記第2のブランケット誘電体層を貫通させた第6の導電性バイアと、
    デバイス分離を行うため、前記第1の垂直構造と前記第2の垂直構造との間の前記第1の単結晶基板上にある第3の誘電体領域と
    をさらに含む、請求項54に記載のインバータ。
  56. 導電性材料を用いて、前記第4の導電性バイアが前記第1の導電性バイアに結合され、前記第6の導電性バイアが前記第3の導電性バイアに結合されている、請求項55に記載のインバータ。
  57. 前記第1の垂直構造の前記側壁が(100)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項54に記載のインバータ。
  58. 前記第2の垂直構造の前記側壁が(110)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項54に記載のインバータ。
  59. 第1の単結晶基板上にある、1×1019原子/cm3よりも高い濃度レベルでn型にドープされた第1のn型緩和Si1-iGeiエピタキシャル領域と、
    前記第1のn型緩和Si1-iGeiエピタキシャル領域上にある、1×1019原子/cm3よりも高い濃度レベルでn型にドープされた第2のn型炭素ドープSiGeエピタキシャル領域と、
    前記第2のn型炭素ドープSiGeエピタキシャル領域上の、p型にドープされた第3のp型緩和Si1-iGeiエピタキシャル領域と、
    前記第3のp型緩和Si1-iGeiエピタキシャル領域上の、1×1019原子/cm3よりも高い濃度レベルでn型にドープされた第4のn型引張り歪みシリコン・エピタキシャル領域と、
    前記第4のn型引張り歪みシリコン・エピタキシャル領域上の、緩和Si1-iGei、ポリシリコン、およびポリSiGeからなる群から選択された第5のシリコン含有領域であって、前記第5のシリコン含有領域が、1×1019原子/cm3よりも高い濃度レベルでn型にドープされている第5のn型シリコン含有領域と、
    前記第1のn型緩和Si1-iGeiエピタキシャル領域から、前記第2のn型引張り歪みシリコン・エピタキシャル領域表面、前記第3のp型緩和Si1-iGeiエピタキシャル領域表面、前記第4のn型引張り歪みシリコン・エピタキシャル領域表面を経て、前記第5のn型シリコン含有領域に延びる、少なくとも1つの側壁を含んだ第1の垂直構造と、
    前記第1の垂直構造の前記少なくとも1つの側壁の領域表面にある第6の歪みシリコン領域と、
    前記第6の歪みシリコン領域表面の第1のゲート誘電体領域と、
    前記第1のゲート誘電体領域表面の第1のゲート導電性領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い、前記第1の単結晶基板上の第7のp型シリコン・エピタキシャル領域と、
    p型の濃度レベルが1×1019原子/cm3よりも高い、前記第7のp型シリコン・エピタキシャル領域上の第8のp型炭素ドープ・エピタキシャル領域と、
    n型にドープされた、前記第8のp型炭素ドープ・エピタキシャル領域上の第9のn型シリコン・エピタキシャル領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い、前記第9のn型シリコン・エピタキシャル領域上の第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域と、
    p型濃度レベルが1×1019原子/cm3よりも高い、前記第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域上の、単結晶シリコン、ポリシリコン、およびポリSiGeからなる群から選択された第11のp型シリコン・エピタキシャル領域と、
    前記第7のp型シリコン・エピタキシャル領域から、前記第8のp型炭素ドープ・エピタキシャル領域、前記第9のn型シリコン・エピタキシャル領域、前記第10のp型圧縮歪みSi1-w-qGewqエピタキシャル領域を経て前記第11のp型シリコン・エピタキシャル領域に延びる、少なくとも1つの側壁を含んだ第2の垂直構造と、
    前記第2の垂直構造の前記少なくとも1つの側壁の領域表面にある第12の歪みSi1-sGes領域と、
    前記第12の歪みSi1-sGes領域表面の第2のゲート誘電体領域と、
    前記第2のゲート誘電体領域表面の第2のゲート導電性領域と
    を含む、インバータ。
  60. 前記第1の垂直構造の表面および上方にある第1のブランケット誘電体層と、
    前記第1のn型緩和Si1-iGeiエピタキシャル層の上面にある領域で前記第6の歪みシリコン領域に接触するように前記第1のブランケット誘電体層を貫通させた第1の導電性バイアと、
    前記第1の垂直構造の上面で前記第5のn型シリコン含有領域に接触するように前記第1のブランケット誘電体層を貫通させた第2の導電性バイアと、
    前記第1のゲート導電性領域に接触するように前記第1のブランケット誘電体層を貫通させた第3の導電性バイアと、
    前記第2の垂直構造の表面および上方にある第2のブランケット誘電体層と、
    前記第7のp型シリコン・エピタキシャル領域に接触するように前記第2のブランケット誘電体層を貫通させた第4の導電性バイアと、
    前記第1の垂直構造の上面で前記第11のp型シリコン・エピタキシャル領域表面にある領域の前記第12の歪みSi1-sGes領域に接触するように前記第2のブランケット誘電体層を貫通させた第5の導電性バイアと、
    前記第2のゲート導電性領域に接触するように前記第2のブランケット誘電体層を貫通させた第6の導電性バイアと、
    デバイス分離を行うため、前記第1の垂直構造と前記第2の垂直構造との間の前記第1の単結晶基板上にある第3の誘電体領域と
    をさらに含む、請求項59に記載のインバータ。
  61. 導電性材料を介して、前記第4の導電性バイアが前記第1の導電性バイアに結合され、前記第6の導電性バイアが前記第3の導電性バイアに結合されている、請求項60に記載のインバータ。
  62. 前記第1の垂直構造の前記側壁が(100)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項59に記載のインバータ。
  63. 前記第2の垂直構造の前記側壁が(110)平面内にあり、前記第1の単結晶基板の主面に垂直である、請求項59に記載のインバータ。
JP2004175642A 2003-06-17 2004-06-14 低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス Expired - Fee Related JP4301506B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/463,039 US6943407B2 (en) 2003-06-17 2003-06-17 Low leakage heterojunction vertical transistors and high performance devices thereof

Publications (2)

Publication Number Publication Date
JP2005012213A JP2005012213A (ja) 2005-01-13
JP4301506B2 true JP4301506B2 (ja) 2009-07-22

Family

ID=33517028

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004175642A Expired - Fee Related JP4301506B2 (ja) 2003-06-17 2004-06-14 低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス

Country Status (4)

Country Link
US (1) US6943407B2 (ja)
JP (1) JP4301506B2 (ja)
CN (1) CN100418198C (ja)
TW (1) TWI302740B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE41906E1 (en) 1993-11-09 2010-11-02 Nova Measuring Instruments, Ltd. Two dimensional beam deflector

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US8779597B2 (en) * 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US7205604B2 (en) * 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US6900521B2 (en) * 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
US7799675B2 (en) * 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
US20100133695A1 (en) * 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
CN1799146A (zh) * 2003-05-30 2006-07-05 松下电器产业株式会社 半导体装置及其制造方法
TWI294670B (en) * 2003-06-17 2008-03-11 Ibm Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof
US8071438B2 (en) * 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US8471263B2 (en) * 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US7863748B2 (en) * 2003-06-24 2011-01-04 Oh Choonsik Semiconductor circuit and method of fabricating the same
US7632738B2 (en) * 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US7867822B2 (en) 2003-06-24 2011-01-11 Sang-Yun Lee Semiconductor memory device
US7482214B2 (en) * 2003-12-30 2009-01-27 Texas Instruments Incorporated Transistor design and layout for performance improvement with strain
JP4177775B2 (ja) * 2004-03-16 2008-11-05 株式会社東芝 半導体基板及びその製造方法並びに半導体装置
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7491988B2 (en) * 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
US7202528B2 (en) * 2004-12-01 2007-04-10 Semisouth Laboratories, Inc. Normally-off integrated JFET power switches in wide bandgap semiconductors and methods of making
US7820511B2 (en) * 2004-07-08 2010-10-26 Semisouth Laboratories, Inc. Normally-off integrated JFET power switches in wide bandgap semiconductors and methods of making
US7145166B2 (en) * 2004-08-19 2006-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. CMOSFET with hybrid strained channels
KR100674914B1 (ko) * 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
JP2006100599A (ja) * 2004-09-29 2006-04-13 Toshiba Corp 半導体装置及びその製造方法
US7504691B2 (en) * 2004-10-07 2009-03-17 Fairchild Semiconductor Corporation Power trench MOSFETs having SiGe/Si channel structure
CN101036235B (zh) * 2004-10-07 2012-07-04 费查尔德半导体有限公司 设计带隙的mos栅功率晶体管
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7119380B2 (en) * 2004-12-01 2006-10-10 Semisouth Laboratories, Inc. Lateral trench field-effect transistors in wide bandgap semiconductor materials, methods of making, and integrated circuits incorporating the transistors
US7432161B2 (en) * 2005-01-07 2008-10-07 Stc.Unm Fabrication of optical-quality facets vertical to a (001) orientation substrate by selective epitaxial growth
KR100674952B1 (ko) * 2005-02-05 2007-01-26 삼성전자주식회사 3차원 플래쉬 메모리 소자 및 그 제조방법
US8367524B2 (en) * 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20060261406A1 (en) * 2005-05-18 2006-11-23 Yijian Chen Vertical integrated-gate CMOS device and its fabrication process
US20070018239A1 (en) * 2005-07-20 2007-01-25 International Business Machines Corporation Sea-of-fins structure on a semiconductor substrate and method of fabrication
US7615806B2 (en) 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US7335563B2 (en) * 2005-11-09 2008-02-26 International Business Machines Corporation Rotated field effect transistors and method of manufacture
KR100729122B1 (ko) 2005-12-29 2007-06-14 동부일렉트로닉스 주식회사 반도체 소자의 트랜지스터 및 그 제조방법
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7365401B2 (en) * 2006-03-28 2008-04-29 International Business Machines Corporation Dual-plane complementary metal oxide semiconductor
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US7564096B2 (en) * 2007-02-09 2009-07-21 Fairchild Semiconductor Corporation Scalable power field effect transistor with improved heavy body structure and method of manufacture
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7795673B2 (en) * 2007-07-23 2010-09-14 Macronix International Co., Ltd. Vertical non-volatile memory
CN101884117B (zh) 2007-09-07 2013-10-02 台湾积体电路制造股份有限公司 多结太阳能电池
WO2009057194A1 (ja) * 2007-10-29 2009-05-07 Unisantis Electronics (Japan) Ltd. 半導体構造及び当該半導体構造の製造方法
US8183628B2 (en) * 2007-10-29 2012-05-22 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US7825465B2 (en) 2007-12-13 2010-11-02 Fairchild Semiconductor Corporation Structure and method for forming field effect transistor with low resistance channel region
US7678634B2 (en) * 2008-01-28 2010-03-16 International Business Machines Corporation Local stress engineering for CMOS devices
US8598650B2 (en) * 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
JP5317343B2 (ja) 2009-04-28 2013-10-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
WO2009122579A1 (ja) * 2008-04-03 2009-10-08 日本ユニサンティスエレクトロニクス株式会社 6t sgt cmos sramセルの安定性を改善する方法及び装置
KR101394157B1 (ko) * 2008-04-08 2014-05-14 삼성전자주식회사 수직 필러 트랜지스터, 이를 포함하는 디램 소자, 수직필러 트랜지스터 형성 방법 및 반도체 박막 형성 방법.
US7968910B2 (en) 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
US7977713B2 (en) * 2008-05-08 2011-07-12 Semisouth Laboratories, Inc. Semiconductor devices with non-punch-through semiconductor channels having enhanced conduction and methods of making
US7994548B2 (en) 2008-05-08 2011-08-09 Semisouth Laboratories, Inc. Semiconductor devices with non-punch-through semiconductor channels having enhanced conduction and methods of making
US7838913B2 (en) * 2008-05-28 2010-11-23 International Business Machines Corporation Hybrid FET incorporating a finFET and a planar FET
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
WO2010010865A1 (ja) * 2008-07-22 2010-01-28 日本電気株式会社 半導体装置
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
KR101561059B1 (ko) 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7977178B2 (en) * 2009-03-02 2011-07-12 International Business Machines Corporation Asymmetric source/drain junctions for low power silicon on insulator devices
JP2010245196A (ja) * 2009-04-02 2010-10-28 Elpida Memory Inc 半導体装置およびその製造方法
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
JP5006379B2 (ja) 2009-09-16 2012-08-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
JP5356970B2 (ja) * 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8148728B2 (en) 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US12027518B1 (en) 2009-10-12 2024-07-02 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
KR101159943B1 (ko) 2009-12-29 2012-06-25 에스케이하이닉스 주식회사 반도체 장치의 제조 방법 및 반도체 장치
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP4912513B2 (ja) 2010-03-08 2012-04-11 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 固体撮像装置
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
US8361867B2 (en) * 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8609495B2 (en) 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
JP5066590B2 (ja) 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置とその製造方法
JP5087655B2 (ja) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
JP2012079992A (ja) * 2010-10-05 2012-04-19 Elpida Memory Inc 半導体装置
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US12033884B2 (en) 2010-11-18 2024-07-09 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US8952418B2 (en) * 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
TWI455248B (zh) * 2011-05-06 2014-10-01 Inotera Memories Inc 具有摻質停止層的動態隨機存取記憶體及其製作方法
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8669590B2 (en) * 2011-07-26 2014-03-11 Applied Materials, Inc. Methods and apparatus for forming silicon germanium-carbon semiconductor structures
JP2013038336A (ja) * 2011-08-10 2013-02-21 Toshiba Corp 半導体装置
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
CN103187444B (zh) * 2011-12-30 2015-10-14 中芯国际集成电路制造(上海)有限公司 Ldmos晶体管及其制作方法
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
DE102012202783A1 (de) * 2012-02-23 2013-08-29 Robert Bosch Gmbh Mikromechanische Sensorvorrichtung mit beweglichem Gate und entsprechendes Herstellungsverfahren
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US8859348B2 (en) 2012-07-09 2014-10-14 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator
CN102810568B (zh) * 2012-07-16 2014-12-31 西安电子科技大学 一种应变Si垂直沟道PMOS集成器件及制备方法
CN102723339B (zh) * 2012-07-16 2015-07-01 西安电子科技大学 SOI BJT应变SiGe回型沟道BiCMOS集成器件及制备方法
JP2014093319A (ja) * 2012-10-31 2014-05-19 Toshiba Corp 半導体装置およびその製造方法
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8872225B2 (en) * 2012-12-20 2014-10-28 Intel Corporation Defect transferred and lattice mismatched epitaxial film
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9634000B2 (en) * 2013-03-14 2017-04-25 International Business Machines Corporation Partially isolated fin-shaped field effect transistors
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
CN104465753A (zh) * 2013-09-25 2015-03-25 联华电子股份有限公司 垂直式晶体管元件及其制作方法
SG11201601319QA (en) * 2013-09-27 2016-03-30 Intel Corp Ge and iii-v channel semiconductor devices having maximized compliance and free surface relaxation
US20150097228A1 (en) * 2013-10-07 2015-04-09 Nanya Technology Corporation Method for manufacturing semiconductor device
CN104600110B (zh) * 2013-10-30 2017-08-25 中国科学院微电子研究所 隧穿场效应晶体管及其形成方法
TWI689920B (zh) * 2014-01-08 2020-04-01 日商新力股份有限公司 半導體裝置及記憶體電路
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9276113B2 (en) 2014-03-10 2016-03-01 International Business Corporation Structure and method to make strained FinFET with improved junction capacitance and low leakage
CN104952919B (zh) * 2014-03-26 2018-05-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US10727339B2 (en) * 2014-03-28 2020-07-28 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US9559191B2 (en) 2014-04-16 2017-01-31 International Business Machines Corporation Punch through stopper in bulk finFET device
US9390976B2 (en) 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US9196730B1 (en) 2014-06-20 2015-11-24 Taiwan Seminconductor Manufacturing Company Limited Variable channel strain of nanowire transistors to improve drive current
US9240454B1 (en) * 2014-10-22 2016-01-19 Stmicroelectronics, Inc. Integrated circuit including a liner silicide with low contact resistance
CN104332502B (zh) * 2014-11-07 2017-06-06 华为技术有限公司 一种互补隧穿场效应晶体管及其制作方法
US9337255B1 (en) 2014-11-21 2016-05-10 International Business Machines Corporation Reducing direct source-to-drain tunneling in field effect transistors with low effective mass channels
JP2016119341A (ja) * 2014-12-18 2016-06-30 猛英 白土 半導体装置及びその製造方法
US9601617B2 (en) * 2015-01-23 2017-03-21 Qualcomm Incorporated Fabrication of a transistor including a tunneling layer
US9437445B1 (en) 2015-02-24 2016-09-06 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement
US9543304B2 (en) * 2015-04-02 2017-01-10 Stmicroelectronics, Inc. Vertical junction FinFET device and method for manufacture
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10304946B2 (en) 2015-06-17 2019-05-28 Intel Corporation Vertical integration scheme and circuit elements architecture for area scaling of semiconductor devices
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US9515165B1 (en) 2015-09-11 2016-12-06 International Business Machines Corporation III-V field effect transistor (FET) with reduced short channel leakage, integrated circuit (IC) chip and method of manufacture
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
CN115942752A (zh) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3d半导体器件和结构
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
WO2017064793A1 (ja) * 2015-10-15 2017-04-20 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US12035531B2 (en) 2015-10-24 2024-07-09 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
CN106611781A (zh) * 2015-10-27 2017-05-03 上海新昇半导体科技有限公司 量子阱器件及其形成方法
US9627531B1 (en) * 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US11018254B2 (en) * 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US9812567B1 (en) * 2016-05-05 2017-11-07 International Business Machines Corporation Precise control of vertical transistor gate length
US9640667B1 (en) 2016-05-17 2017-05-02 International Business Machines Corporation III-V vertical field effect transistors with tunable bandgap source/drain regions
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
CN106298778A (zh) 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11081484B2 (en) 2016-09-30 2021-08-03 Institute of Microelectronics, Chinese Academy of Sciences IC unit and method of manufacturing the same, and electronic device including the same
US10833193B2 (en) 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US9818875B1 (en) * 2016-10-17 2017-11-14 International Business Machines Corporation Approach to minimization of strain loss in strained fin field effect transistors
US10269652B2 (en) 2017-03-22 2019-04-23 International Business Machines Corporation Vertical transistor top epitaxy source/drain and contact structure
US10483380B2 (en) * 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US9960272B1 (en) * 2017-05-16 2018-05-01 International Business Machines Corporation Bottom contact resistance reduction on VFET
KR102314006B1 (ko) 2017-09-11 2021-10-18 삼성전자주식회사 수직 전계 트랜지스터 및 이를 포함하는 반도체 장치
KR102059896B1 (ko) * 2018-10-24 2019-12-27 가천대학교 산학협력단 양자우물 구조를 갖는 1t 디램 셀 소자
CN109449121B (zh) * 2018-10-26 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
KR102616982B1 (ko) * 2020-08-14 2023-12-27 한국항공대학교산학협력단 수직 채널 전계효과 트랜지스터 소자, 이를 이용한 3진법 cmos 및 이들의 제조방법
CN114203867B (zh) * 2021-10-19 2023-12-05 闽都创新实验室 电场调控型发光三极管器件及其制备方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5936280A (en) * 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
JP3655175B2 (ja) * 2000-06-30 2005-06-02 株式会社東芝 半導体記憶装置の製造方法
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE41906E1 (en) 1993-11-09 2010-11-02 Nova Measuring Instruments, Ltd. Two dimensional beam deflector

Also Published As

Publication number Publication date
CN100418198C (zh) 2008-09-10
US20040256639A1 (en) 2004-12-23
US6943407B2 (en) 2005-09-13
TW200512930A (en) 2005-04-01
CN1574253A (zh) 2005-02-02
JP2005012213A (ja) 2005-01-13
TWI302740B (en) 2008-11-01

Similar Documents

Publication Publication Date Title
JP4301506B2 (ja) 低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス
US7679121B2 (en) Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US7205604B2 (en) Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US7902012B2 (en) High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US20070148939A1 (en) Low leakage heterojunction vertical transistors and high performance devices thereof
US7057216B2 (en) High mobility heterojunction complementary field effect transistors and methods thereof
US8264032B2 (en) Accumulation type FinFET, circuits and fabrication method thereof
JP4493343B2 (ja) 歪みフィンfet構造および方法
US7834345B2 (en) Tunnel field-effect transistors with superlattice channels
KR100585111B1 (ko) 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR20020066191A (ko) Mos 전계 효과 트랜지스터
JPH07321222A (ja) ひずみSi/SiGeヘテロ構造層を使用するCMOSトランジスタ論理回路
JP2007519223A (ja) 極薄soi/sgoi基板上の超高速si/sige変調ドープ電界効果トランジスタ
US20090166761A1 (en) Field effect transistor structure with an insulating layer at the junction
Olsen et al. Study of single-and dual-channel designs for high-performance strained-Si-SiGe n-MOSFETs
Liu et al. Highly stacked GeSi nanosheets and nanowires by low-temperature epitaxy and wet etching
Waldron III-V Devices and technology for CMOS
Collaert et al. High-performance strained Si/SiGe pMOS devices with multiple quantum wells
Collaert From FinFET to Nanosheets and Beyond
US20080290414A1 (en) Integrating strain engineering to maximize system-on-a-chip performance
CN117295342A (zh) 晶体管和电子设备

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080115

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20080131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080723

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090331

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090401

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090417

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120501

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees