JP3176540U - 原子層堆積チャンバ及び構成部品 - Google Patents

原子層堆積チャンバ及び構成部品 Download PDF

Info

Publication number
JP3176540U
JP3176540U JP2011005595U JP2011005595U JP3176540U JP 3176540 U JP3176540 U JP 3176540U JP 2011005595 U JP2011005595 U JP 2011005595U JP 2011005595 U JP2011005595 U JP 2011005595U JP 3176540 U JP3176540 U JP 3176540U
Authority
JP
Japan
Prior art keywords
gas
chamber
annular band
conical
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2011005595U
Other languages
English (en)
Inventor
イエー ウー ディエン
エス チュー シューバート
マー ポール
トビン ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of JP3176540U publication Critical patent/JP3176540U/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】CVDはPVDによる基板処理チャンバを改造して、原子層堆積チャンバ(ALD)とすることができるガス分配装置を提供する。
【解決手段】原子層堆積チャンバ22は、ガス流入部64とガス流出部66との間に円錐状流路78を有している中央キャップ部60を備えたガス分配装置40を備えている。このガス分配装置は、連結された第1及び第2円錐状開口部92、94を備えた天井プレート90も有している。第1円錐状開口部92は、中央キャップ部のガス流出部から処置ガスを受け取る。第2円錐状開口部94は、第1円錐状開口部92から半径方向外側に向かって延びている。このガス分配装置40は、チャンバの側壁部上に据えられる周縁棚部104も有している。
【選択図】図1

Description

背景
本考案の実施形態は、原子層堆積チャンバ及びその構成部品に関する。
集積回路及びディスプレイの製造においては、原子層堆積(Atomic Layer
deposition:ALD)チャンバを用いて、原子レベルの厚さの原子層を基板
上に堆積している。典型的には、ALDチャンバは、その中に処理ガスを導入するところ
の筐体と、チャンバ内の処理ガスの排出とその圧力制御を行う排気部を備えている。ある
タイプの原子層堆積法においては、第1の処理ガスをチャンバに導入し、基板表面に吸着
させたガス分子の薄層を形成し、その後、第2の処理ガスを導入してこのガス分子吸着層
と反応させることで、基板上に原子層を形成している。処理ガスには、有機又はその他の
分子をチャンバに運搬する慣用の加圧ガス又はキャリアガスを含めることができる。典型
的には、各処理ガスの供給の合間にチャンバをパージする。パージは、チャンバにキャリ
アガスを持続的に流す持続型、又はキャリアガスを非持続的つまりパルス流として流すパ
ルス型等である。
基板への原子層の堆積におけるALD法の使用が増えてきていることから、CVD又は
PVD法で使用される慣用の基板処理チャンバがALDチャンバに転用されつつある。し
かしながら、慣用のチャンバではALD法で必要とされている十分に高いレベルのガス分
布、プラズマ、又は熱の均一性が常に得られるわけではない。例えば、ALDチャンバで
は特定のタイプのガス分配装置、シールド、及び排気部品を用いるが、これら全てが連携
することで、処理ガス種の基板表面全体に亘ってのより均一な供給と、基板表面からの除
去が行われる。ALD用に転用されたチャンバでは、ALD法のタイプ、例えば熱又はプ
ラズマ支援ALD(Plasma enhanced ALD:PEALD)法、に応じ
て特殊な構成部品を必要とする場合もある。熱ALDの場合は、熱を供給することで、基
板表面に吸着された2種以上の反応物の間に化学反応を生じさせる。熱ALDにおいては
、基板又はその他のチャンバ表面を加熱又は冷却するためのチャンバ部品を更に必要とす
る場合がある。PEALD法では、処理ガスにエネルギー印加するためのガス・エナジャ
イザを必要としており、その構成部品は、エネルギー印加された処理ガスによるエッチン
グに耐えるように設計されている。従って、慣用のチャンバをALDチャンバに簡単に変
更できるチャンバ改造キットを有していることが更に望ましい。
ALDチャンバの構成部品は、悪影響を引き起こすことなく、基板全体に良好なガス分
布均一性を付与する必要もある。例えば、プラズマ支援ALDにおいては、基板表面に向
かって処理ガス流を直接的に流してしまうと、基板表面がエッチングされて損なわれる可
能性が上昇してしまう。熱ALD法では、処理ガス種が基板ではなく内部チャンバ表面と
反応するとガス効率が低下してしまう。更に、慣用のシャワーヘッド型のガス分配装置で
は、基板の周縁領域と比べて中央領域での処理ガス供給濃度が高くなることが多い。また
、堆積中、基板表面全体に亘って処理ガス種の圧力を均一にすることは困難である。AL
Dチャンバを連続する処理ガス工程の合間に効果的にパージすることが望ましい場合もあ
る。
従って、慣用のチャンバの改造に使用可能なALD処理キット及びチャンバ構成部品が
必要とされている。また、処理ガスの迅速なパージも可能としつつ、基板全体に亘ってよ
り良好なガス、温度及び圧力均一性を付与するALDチャンバ構成部品が必要とされてい
る。
概要
原子層堆積チャンバは、ガス流入部とガス流出部との間に円錐状流路を有している中央
キャップ部を備えたガス分配装置を備えている。このガス分配装置は、連結された第1及
び第2円錐状開口部を備えた天井プレートも有している。第1円錐状開口部は、中央キャ
ップ部のガス流出部から処置ガスを受け取る。第2円錐状開口部は、第1円錐状開口部か
ら半径方向外側に向かって延びている。このガス分配装置は、チャンバの側壁部上に据え
られる周縁棚部も有している。
以下の説明、実用新案登録請求の範囲、及び添付図面は、単独での使用又は他の構成と組み合わせた使用が可能な異なる構成の実施形態例を図示したものであり、図面に図示の態様に限定されるべきではない。
熱ALDチャンバの実施形態の概略側部断面図である。 図1のALDチャンバのチャンバ蓋部の天井プレートの上断面図及び上平面図であり、矩形の熱伝達流体導管を表している。 図1のALDチャンバで使用可能なチャンバライナの斜視図である。 図1のALDチャンバの排気シールドアセンブリの分解斜視図である。 PEALDチャンバの実施形態の概略側部断面図である。 図5のPEALDチャンバのチャンバ蓋部の概略底面図であり、チャンバ蓋部はファン型挿入部を備えたガス分配装置を有している。 図6Aのファン型挿入部の断面斜視図である。 図5のPEALDチャンバのチャンバライナの斜視図である。 図7Aのチャンバライナの断面図である。 図5のPEALDチャンバのプラズマスクリーンの斜視図である。
説明
原子層堆積(ALD)チャンバ22を備えた基板処理装置20の実施形態が図1に図示
されている。チャンバ22は、基板支持体26上に載置された基板24上に原子層を堆積
するための熱ALD処理に適している。熱ALD処理において、基板24に吸着された処
理ガス分子は、基板24上で原子層を形成するに十分な高さの温度まで加熱される。適し
た熱ALD温度は、例えば、約120℃〜約450℃である。チャンバ22は、半導体ウ
ェハ等の基板24の処理に適しているが、例えばフラットパネルディスプレイ、ポリマパ
ネル、又はその他の電気回路搭載構造体等のその他の基板24の処理にも適用することが
でき、当業者には明らかである。装置20は、チャンバ22の電気的、配管系、及びその
他のサポート機能を果たすプラットフォーム(図示せず)に取り付けることもでき、又、
例えばカリフォルニア州サンタクララのアプライドマテリアル社から入手可能なダ・ヴィ
ンチ(DaVinci)又はエンデュラII(Endura)プラットフォーム等のマル
チチャンバ・プラットフォームシステムの一部として使用することも可能である。
一般に、チャンバ22は、天井部28と、側壁部30と、底壁部32によって取り囲ま
れている。基板支持体26は底壁部32を貫通して延び、基板受け面33で基板を24を
支持している。基板支持体26は側壁部30と共に処理ゾーン34を規定しており、この
処理ゾーン34内に処理ガスを供給して基板24を処理する。稼働中、処理ガスは、処理
ガス供給源38及びガス分配装置40を含むガス供給部36を通ってチャンバ22に導入
される。ガス分配装置40は、ガス供給弁44を備えた、ガスを供給するための1つ以上
の導管42と、処理ガスをチャンバ22の処理ゾーン34へと放出するガス流出部66、
46を備え得る。ALD処理の場合、処理ガス供給源38を用いて、各自が1種類のガス
又は数種類のガスの混合物、キャリアガスと輸送される分子、又はキャリアガスであって
もよいパージガスを含み得る異なる処理ガスを供給することができる。使用済みの処理ガ
ス及び処理副生成物はチャンバ22から排気システム50を通って排出され、排気システ
ムは、処理ゾーン34から使用済み処理ガスを受け取り、そのガスを排出導管54へと送
る排気ポート52と、チャンバ22内の処理ガスの圧力を制御するための絞り弁及び排気
ポンプ(図示せず)を含み得る。
ガス分配装置40は、1つ以上のガス流入部64a、b、ガス流出部66、及びガス流
入部64とガス流出部66との間のガス流路70を有する中央キャップ部60を備えてい
る。ガス流入部64a、bは、水平面上で互いにずらして配置されており、ガス流路70
の円周に沿って位置決めされている。位置をずらしたガス流入部64a、bからの個々の
ガス流はガス流路70で合流し、流入部64a、bから流出部66へと流れる螺旋状のガ
ス流となる。ある態様においては、ガス流入部64a、bを、少なくとも約45°、例え
ば約180°の分離角で位置決めすることでずらすことができる。キャップ部60内のガ
ス流路70の最上部74は円筒形である。ガス流路70の底部76は円錐状の流路78を
含み、この流路は下向きガス流方向に向かって徐々に外方向に広がっており、円錐状流路
78の内径の半径は、上方領域80での第1直径からキャップ部60の流出部66付近の
下方領域82における、より大きな第2直径へと増大している。ある態様において、第1
直径は約2.6cm未満であり、第2直径は少なくとも約3cmである。例えば、第1直
径は約0.2cm〜約2.6cmであり、第2直径は約3cm〜約7.5cmである。円
錐状流路78は、垂直軸に対して角度約5°〜約30°、又はより典型的には約11°で
傾斜した面も有し得る。
位置をずらしたガス流入部64a、bを通して処理ガスをキャップ部60に注入すると
、同時注入されたガス流が、円錐状流路78を通る垂直軸86を中心に回転して渦運動と
なり、流入部64a、bから流出部66へと下方向に向かう螺旋ガス流が作り出される。
螺旋運動をするガスの角運度により、ガスが円錐状流路78の表面を掃くこととなり、有
利である。また、第1直径から第2直径への円錐状流路78の直径における段階的な増大
によりガスの体積が増大し、それに対応してガス渦の幅が広がり、ガス圧と温度が徐々に
低下して共に望ましいが、これは前駆体ガスの凝縮が阻害され、基板24に向かうガスの
垂直速度が減速されるからである。更に、円錐状流路78の垂直軸86を中心とした処理
ガスの回転エネルギー及び角運動は、処理ガスが流路を下るにつれ低下する。円錐状流路
78は釣鐘形状であるため、処理ガスの渦はチャンバ22に進入すると横に広がり、基板
24の真上で処理ガスはより良好に分配されることになる。
中央キャップ部60は成形天井プレート90上に載置されており、天井プレートは、あ
る態様においては漏斗形状である。成形天井プレート90はチャンバ蓋部として機能し、
相互連結された第1及び第2円錐状開口部92、94を有している。第1円錐状開口部9
2は処理ガスをガス流出部66から受け取り、第1直径を有しており、第2円錐状開口部
94は処理ガスを放出し、第1直径より大きい第2直径を有している。円錐状開口部92
、94のそれぞれは、外方向に向かって段階的に広がり、直径は持続的に増大している。
ある態様において、天井プレートキャップ部90は、例えばアルミニウム合金等のアルミ
ニウムから構成される。
成形天井プレート90内の第1円錐状開口部92は、中央キャップ部60の流出部66
に連結されており、天井プレート90と中央キャップ部60との間の界面98における第
1直径は小さく、第2円錐状開口部94に接合されているセグメント接合部96における
より大きい直径へと段階的に増大していく。ある態様において、第1円錐状開口部92の
段階的なテーパー加工が施された面は、垂直軸に対して約50°〜約30°の傾斜角を有
している円錐面を含んでいる。セグメント接合部96は丸みを帯びた縁部を備えており、
第1円錐状開口部92の傾斜部と第2円錐状開口部94の傾斜部との間を段階的に移行さ
せている。第2円錐状開口部94は、セグメント接合部96における第1直径から基板支
持体26の外周100上のより大きい第2直径へと直径を増大させながら、半径方向外側
に向かって延びている。第2円錐状開口部94の面は、垂直軸に対して約1°〜約15°
の傾斜角の円錐面を有している。
成形天井プレート90は周縁棚部104も有しており、周縁棚部はガス分配装置40か
ら半径方向外側に向かって、かつ基板支持体26の外周100上に延びている。周縁棚部
104の下面106は実質的に水平であることから、周縁棚部104をチャンバ22の側
壁部30付近に載せ、処理ゾーン34上方で天井プレート90を支持することができる。
周縁棚部104は、第2円錐状開口部94から周縁棚部104へとなだらかに上に向かっ
て曲線を描く中間段差部108で高さが一段下がっている。
中央キャップ部60を通る成形円錐状流路78と、天井プレート90の第1及び第2円
錐状開口部92、94により、最小流れ抵抗での処理ガス又はパージガスの通過と、基板
24の表面全体に亘っての良好なガス分配も可能となる。円錐状流路78は、ガスがチャ
ンバ22内へと下るにつれ、直径が増大する。螺旋状に降下していく処理ガス渦の幅も同
様に増大し、高速ガス流となる。円錐状流路78の垂直軸86を中心とした処理ガスの回
転エネルギー及び角運動は、処理ガスが流路に沿って降下するにつれ低下する。天井プレ
ート90内のガス流路の直径は、天井プレート90の上部と底部との間で増大していく。
従って、キャップ部60及び天井プレート90を通るガス流路全体が釣鐘形状となって処
理ガスは渦を描き、チャンバ22に進入する際に横に広がって、基板24の真上のチャン
バ22の処理ゾーン34へと均一に分配される。
ガス分配装置40は、加熱又は冷却素子と温度センサを含む温度調節システム110も
備え得る。天井部に搭載されたガス分配装置40は、処理ゾーンの領域における表面積の
大半を占める。このため、ガス分配装置40の温度を制御して、基板24付近の処理ガス
へのその影響を制御することが望ましい。例えば、ガス分配装置40が熱すぎる場合、処
理ガスがその表面で反応して、基板24上ではなくこれらの表面に材料が堆積されること
がある。あるいは、ガス分配装置40を過度に冷却すると、処理ガスが基板24に到達す
る頃には処理ガスが冷たくなり過ぎている場合がある。従って、ガス分配装置40の温度
を制御して、処理ガスが基板24に最適な状態で供給される温度を維持することが望まし
い。
ある態様において、温度調節システム110は、ガス分配装置40に接触している、例
えばキャップ部60、天井プレート90、又はその双方に接触している熱伝達流体導管1
12を備えている。温度調節システム110は、熱伝達流体をその内部に流すことで処理
ガスから熱を除去する又は処理ガスに熱を加えるための流体導管116を含み得る。ある
態様において、流体導管116は、図2Aに図示されるように、天井プレート90に機械
加工されたチャネルを備えている。これにより、流体導管116は、処理ガスが中央キャ
ップ部60及び天井プレート90内に延びているガス流路70を通過する際に、処理ガス
の温度を制御することもできるようになる。例えば、この領域を通過する処理ガスが、円
錐状流路78と第1円錐状開口部92との容積差から生じるガス膨張により急速な温度変
化を見せる場合、ガス温度における変化は、流体導管116に所望の温度差で維持した熱
伝達流体を通すことで調節することが可能である。熱伝達流体は、ガス分配装置40を通
る処理ガスと熱交換を行い、その温度を調節する。熱伝達流体の温度は、チャンバ22外
部の慣用の熱交換システム(図示せず)を用いて調節され、慣用の熱交換システムは、例
えば、脱イオン化水等の熱伝達流体を収容している流体貯蔵部を流体導管116へと連結
しているポンプを備え、かつ流体導管116内の流体を加熱又は冷却するための加熱又は
冷却システムを含んでいる。
チャンバ22内に流れ込んだ処理ガスは、チャンバライナ120によって基板24の処
理領域付近に収容され、チャンバライナは少なくとも部分的にチャンバ22の側壁部30
を覆って処理ゾーン34を取り巻いている。チャンバライナ120は、チャンバ22の壁
部を処理ガスから遮断し、又、処理ガスを基板24上の領域に閉じ込める役割を果たして
いる。チャンバライナ120は、典型的には、少なくとも部分的にチャンバ側壁部30に
沿うように成形されている。チャンバライナ120もガス開口部124を有しており、こ
の開口部を通って処理ガスは処理ゾーン34から排気ポート52へと流れていく。チャン
バライナ120は、アルミニウム等の金属又はセラミックから形成することができる。
チャンバ22に適したチャンバライナ120は、図2Aに図示されるように、第1直径
を有する第1環状バンド部126と、第2直径を有する第2環状バンド部128を備えて
いる。第2環状バンド部128は、第1環状バンド部126の直径よりも大きく寸法設計
されている。例えば、第2環状バンド部128の第2直径は、第1環状バンド部126の
第1直径より少なくとも約2cm大きい。第1環状バンド部126は第1高さも含み、第
2環状バンド部128は、第1高さより高い第2高さを含み、例えば、第2環状バンド部
128の第2高さは、第1環状バンド部126の第1高さより少なくとも2cm高い。あ
る態様において、第1環状バンド部126は約12インチ〜約15インチの第1直径と約
1.5インチ〜約2.5インチの第1高さを有しており、第2環状バンド部128は約1
5インチ〜約18インチの第2直径と約2.5インチ〜約4インチの第1高さを有してい
る。
チャンバライナ120の第1及び第2環状バンド部126、128は、その底端部13
2a、bにおいて円形の径方向フランジ130によって、構造的に接合されている。径方
向フランジ130は、第1及び第2環状バンド部126、128を半径方向に離間した状
態で保持する役割を果たしている。径方向フランジ130は、少なくとも約38mm、例
えば約25mm〜約50mmの径方向間隙が形成されるように寸法設計することができる
。径方向棚部136は、第2環状バンド部128の中間部138をチャンバライナ120
の第1環状バンド部126の上端部140に更に接合している。径方向棚部136により
、チャンバライナ120に構造的完全性が更に加えられる。径方向棚部136はチャンバ
ライナ120の内周の一部に亘って延びており、例えば内周の約0°〜約180°を占め
ている。この結果、内周の残りの部位に開放間隙領域が形成され、チャンバライナ120
内を処理ガスが流れ易く及び通過し易くなる。
チャンバライナ120は第1囲み開口部139も有しており、この開口部により、処理
ガスは処理ゾーン34から排気ポート52へと第1及び第2環状バンド部126、128
を通って流れることができる。第1開口部139は、第1環状バンド部126を貫通して
延びる第1スロット140aと、第1環状バンド部126の第1スロット140aと整列
させた、第2環状バンド部128を貫通する第2スロット140bとを揃えることで形成
される。整列させたスロット140a、bは平面上壁部142と底壁部144によって取
り囲まれ、囲み第1開口部139を形成している。ある態様において、第1及び第2スロ
ット140a、bは、丸みを帯びた角部を備えた矩形から構成される。例えば、これらの
矩形はそれぞれ長さ約12〜18インチと、高さ約0.75インチ〜3インチを有する。
スロット140a、bを整列させることにより、スロット140a、bの角部及び縁部の
侵食を抑えながら、処理ガス種をチャンバライナ120を通過させることができる。また
、チャンバライナ120が、追加の第2開口部149を第1環状バンド部126に有して
いる場合もあり、第2開口部は排気ポート52に向かって開口している。第1及び第2開
口部139、149により、チャンバライナ120内のガスの通過が促進される。ある態
様においては、第1開口部139が、基板24のチャンバライナ120の通過、例えば、
チャンバ22内外への基板24のロボットによる運搬を可能にしている。
チャンバ22は、処理ガスが基板表面を通過した後に使用済みの処理ガスを処理ゾーン
34から受け取ってチャンバ22から排出し、排出導管54へと送るための排気ポート5
2も有している。排気ポート52は、チャンバの側壁部30の一部を成す中空排気ブロッ
ク152に設けられている。中空排気ブロック152は、図4に図示されるように、内壁
部155上の矩形の流入ポート154と、外壁部157上の円形の流出ポート156と、
その間の矩形のチャネル158を備えている。中空排気ブロック152は熱い反応性処理
ガス種に曝露されるため、その内部表面上には処理残留物質が堆積される。このような処
理残留物の蓄積は、時間の経過と共に堆積物が内部表面から剥落し、基板を汚染すること
から望ましくない。排気領域における表面へのこのような処理ガス堆積物の蓄積は、排気
ブロック152の内部表面を洗浄することで元の状態に戻すことができるが、排気ブロッ
クはチャンバ22に一体化された一部である場合が多いことからチャンバ22の取り外し
が必要となって時間がかかり、チャンバの稼動停止時間が過剰となる。チャンバ22で使
用した処理ガスの組成を変更した等の場合も問題も生じるが、これは排気ブロック152
の内側表面に既に蓄積された堆積物が望ましくない形で新しいガス種と反応する可能性が
あるからである。
従って、排気シールドアセンブリ160を設置することで排気ポート52周辺を保護し
、チャンバ22の排気ブロック152内に簡単に交換可能かつ着脱可能な表面部を設ける
。排気シールドアセンブリ160の実施形態例は、図4に図示されるように、協動するこ
とでこの領域において良好な処理ガス流れを作り出す部品構造体のアセンブリを含み、部
品構造体を洗浄又は交換するために排気シールドアセンブリ160を迅速に取り外し及び
分解することが依然として可能である。排気シールドアセンブリ160は、堆積物がその
表面に過剰に堆積した際に、簡単に取り外して洗浄又は交換することが可能である。更に
、設定した処理サイクル数に亘って使用した後、又は処理ガスの組成を変更した後、着脱
式の排気シールドアセンブリ160を廃棄して、新しい排気シールドアセンブリと交換し
、消耗品型の排気ライニングシステムとすることができる。チャンバ22から取り外した
後、排気シールドアセンブリ160を溶媒で洗い、再使用することもできる。
ある態様においては、排気シールドアセンブリ160は内側シールド162と、ポケッ
トシールド164と、外側シールド166並びにカバーシールド210をを備えている。
内側シールド162は、実質的に互いに平行であり、かつ弓状端部178a、bによって
連結されている上方及び下方平面壁部174、176によって規定された外周170を有
する、閉じられた矩形のバンド部168を備えている。ある態様においては、平行壁部1
74、176は少なくとも約4cmの間隔で離れている。矩形バンド部168の断面形状
は、丸みのある角部を有した矩形に似ている。しかしながら、バンド部168の弓状端部
178a、bは円筒形、多重半径曲線型、又は実質的に平面でさえあってもよい。内側シ
ールド162は、チャンバ22の中空排気ブロック152の内壁部180上に位置されて
おり、閉じられた矩形バンド部168は、中空排気ブロック152の矩形の流入ポート1
54に嵌合するように寸法設計されている。
内側シールド162は、矩形バンド部168の外周を越えて垂直に延びる平面フレーム
部172も備えている。平面フレーム部172は、内側シールド162の外側端部190
に位置している。平面フレーム部172は、ポケットシールド164の、対応する角部を
丸めた矩形穴部と同面に配置される。ある態様において、平面フレーム部172は、バン
ド部の外周から約3cm〜約14cmに渡って外方向に延びている。平面フレーム部17
2は、矩形バンド部168の外周170に溶接する又はロウ付けすることができ、通常、
バンド部と同じ材料、つまりアルミニウムシートから形成される。
ポケットシールド164は、上端部196と底端部198を有する管状格納部194を
備えている。管状格納部194は、矩形の中空スリーブを取り囲む、対向する第1及び第
2面200、202を有している。第1平面200は内側シールド162の矩形バンド部
168に嵌合する内側矩形切抜き部206を有しているため、処理ガスはこの流路を通っ
て流れる。第2平面202は、外側シールド166に嵌合する外側円形切抜き部208を
有している。カバープレート210は、管状格納部194の上端部196を覆い、閉じて
いる。ポケットシールド164の底端部198は、排気ブロック152内に嵌るように適
合されたウェル部212を有している。ある態様において、ウェル部212は楕円形であ
る。ポケットシールド164は、中空排気ブロック152の矩形チャネル158の内側に
嵌合するように寸法設計されている。
外側シールド166は、互いに接合された第1及び第2シリンダ212、214を備え
ている。図示の態様において、第1シリンダ212は、第2シリンダ214よりも大きく
寸法設計されている。第1及び第2シリンダ212、214の寸法はチャンバの形状から
決定されるが、これは外側シールド166が中空排気ブロック152の外壁部157と同
面に位置するように適合されるからである。外側シールド166の第2シリンダ214は
、中空排気ブロック152の円形の流出ポート158に嵌合するように寸法設計されてい
る。ある態様において、外側シールド166は高さ約5.5インチ〜約7インチ、幅約5
.5インチ〜約8インチ、深さ約1.4〜約4インチを有する。平面部材216が第2シ
リンダ214に取り付けられており、第2シリンダを越えて垂直に延びている。ある態様
において、平面部材216は第2シリンダ214の縁部を越えて約0.5〜約1.5イン
チに亘って延びている。
ある態様において、内側シールド162、ポケットシールド164、外側シールド16
6及びカバープレート210は全て、例えばアルミニウム、ステンレススチール、又はチ
タン等の金属から形成されている。ある態様において、排気シールドアセンブリ160は
、厚さ約0.06インチのアルミニウムシートを圧断し、プレス加工したものである。加
えて、処理残留物の付着性を向上させるために、シールド部品の表面にビードブラスト加
工を施してもよい。ある態様において、表面は約40〜約150マイクロインチ、又は約
54マイクロインチもの表面粗さを有している。表面粗さは、直径約40〜約125ミク
ロンの粒子を含むスラリーを用いた水研ぎ(wet−sanding)、又は120〜4
00グリットのサンドペーパーで空研ぎ(dry−sanding)によっても得られる
排気シールドアセンブリ160を中空排気ブロック152内に装填すると、シールドア
センブリ160の構成部品は緊密に嵌り合い、接触する。内側シールド162はポケット
シールド164と接触し、内側シールド162の平面フレーム部172は、ポケットシー
ルド164のスロットに揃う。外側シールド166の面は、ポケットシールド164の第
1平面と接触し、カバープレート210はポケットシールド164を覆っている。排気シ
ールドのシールド部品が互いに気密シール部を構成する必要はないが、排気ブロック15
2からの処理ガスの漏れを軽減するために、構成部品は互いに良好な接触性を有している
べきである。
プラズマALDチャンバ
基板処理装置20の別の実施形態は、図5に図示されるように、プラズマALD処理に
適したALDチャンバ22aを含む。チャンバ22aは、プラズマALDに良好な温度特
性を付与するように適合された蓋部29を有しており、又、チャンバ蓋部29aを冷却す
る又は加熱するための熱交換素子、例えば、図5に図示されるような水冷天井プレート3
1を有し得る。装置20は、遠隔又はインシチュ型のガス・エナジャイザ素子、例えば遠
隔ガス・エナジャイザ(モデル番号ASTRO、マサチューセッツ州ウィルミントンのM
KSインストルメンツ社から入手可能)、又は電機接続部、電源及びインシチュでプラズ
マを発生させるための、チャンバ内又はチャンバ近傍に取り付けられた電極も備え得る。
チャンバによっては、チャンバ蓋部29の金属素子を処理電極として使用する。また、1
つ以上の絶縁/断熱リング35をチャンバ壁部と天井部との間に設置し、チャンバ構成部
品間を断熱又は絶縁することができる。処理ガス供給源38a又は処理ガス供給源38a
の構成部品はチャンバ蓋部29上に取り付けることができ、又、空気弁、処理ガス供給源
36a又は、制御されたレベルの処理ガス及びパージガスを処理中に処理チャンバ22a
に供給するための様々な導管部及びチャネルを含み得る。
図5に図示のチャンバにおいて、ガス分配装置40aは中央キャップ部60a、天井挿
入部37及びチャンバ蓋部29の底面に嵌合するシャワーヘッド220を備えている。中
央キャップ部60aは1つ以上のガス流入部65a、b、ガス流出部66a、及びガス流
入部65とガス流出部66aとの間のガス流路70aを有している。ガス流入部65a、
bは水平面上において互いにずらして配置されており、ガス流路70の円周に沿って位置
決めされている。位置をずらしたガス流入部65a、bからの個々のガス流はガス流路7
0aで合流し、流入部65a、bから流出部66aに向かう螺旋状のガス流となる。ある
態様においては、ガス流入部65a、bは、少なくとも約60°、例えば約180°の分
離角で位置決めすることでずらすことができる。キャップ部60aのガス流路70aは円
筒形であり、その長さ全体を通して実質的に均一な直径を有している。
キャップ部60aは、処理ガス通過用の円錐状流路がその内部を通っている天井挿入部
37上に載置されている。天井挿入部37はセラミック又は石英を含み、処理ガスをチャ
ンバ蓋部29のその他の構成部品から絶縁及び断熱する役目を果たしている。天井挿入部
37の流入部39は処理ガスを中央キャップ部60aの流出部66aから受け取る。円錐
状流路43は、下に向かう流れ方向へと外側に広がっていく底部45を有しているため、
流路43の直径は天井挿入部37の下側四分の一の位置で増大している。流路43は、流
入部39の直径の約2倍の直径を有する流出部41で終端している。流路43がこのよう
に突然に広がることにより、プラズマスクリーン192の大きな受け面に適合することが
可能となっている。
処理ガスを、位置をずらしたガス流入部65a、bを通してキャップ部60aに注入す
ると、同時注入されたガス流は、流路70aを通る垂直軸86aを中心に回転して渦運動
となり、流入部65a、bから天井挿入部37の流出部41へと下方向に向かう螺旋ガス
流を作り出す。螺旋状に流れることでガスが混合され、流出部41ではより均質なガス混
合物となり有利である。
処理ガスの渦は、天井挿入部37の流出部41からプラズマスクリーン192に向かっ
て螺旋を描いて流れる。プラズマスクリーン192は、複数の孔224を有する環状プレ
ート222を備えており、複数の孔は間隔を置いてプラズマスクリーン192全体に亘っ
て分散しており、チャネルの中心を直接的にプラズマが通過することを防止している。あ
る態様において、プラズマスクリーン192の中央領域232は穿孔されておらず、RF
電極が直接視野に入らないようになっている。プラズマスクリーン192の孔224の数
は、約50〜約400個であってよく、ある態様においては、約150〜約170個であ
る。ある態様においては、孔224の直径は約0.1cm〜約0.3cmである。図8に
図示されるように、プラズマスクリーン192は、スクリーン220の穿孔領域の周囲に
成形周縁リップ部238と隆起円形バンド部242も備え得る。周縁リップ部238及び
円形バンド部242は、天井挿入部37とのシールを形成するように成形されている。あ
る態様において、プラズマスクリーン192はセラミックを含む。プラズマスクリーン1
92の形状は環状であり、約0.15インチ〜約1インチの厚さを有している。
プラズマスクリーン192により処理ガスはシャワーヘッド220ガス分配装置に送ら
れる。シャワーヘッド220は、複数の孔228を有するプレート226を備え、複数の
孔は間隔を置いてシャワーヘッド220全体に分散して形成され、処理ガスを基板表面全
体に均一に分散させる。シャワーヘッド220の孔228の数は約100〜約10000
個であってよく、ある態様においては約500〜約2500個である。ある態様において
、孔228の直径は約0.01〜約0.lインチである。ある実施形態において、孔22
8は、プレート226の上面から下面に向かって直径が減少するように成形及び寸法設計
されている。これによりプレート226内でのガスの逆流が軽減される。ある態様におい
て、シャワーヘッド220はアルミニウム、スチール、又はステンレススチール等の金属
を含む。シャワーヘッド220の形状は環状であり、厚さは約0.3〜約2.5インチで
ある。
シャワーヘッド220は、チャンバ側壁部30a上の絶縁/断熱体113上に載置され
る周縁領域230と、ガス分配装置挿入部240を受ける、シャワーヘッド220の中心
を貫通する穴部236を有する中央領域234を含む。ガス分配装置挿入部240は、シ
ャワーヘッド220に嵌入させるに十分な大きさの直径に寸法設計された環状プレートを
備える。環状プレートは、中央領域と周縁領域を有している。挿入部240の中央領域は
、平坦な環状最上面248と、平面環状面248から下に向かって外方向に本体領域の面
へと広がる側壁部250を有する突出部244を備えている。ある態様において、挿入部
240の平面環状面248は、プラズマスクリーン192の中央領域と接触している。あ
る態様において、ガス分配装置挿入部240の環状プレートは、例えばアルミニウム等の
金属から構成される。ガス分配装置挿入部240は、単塊から機械加工により形成するこ
とが可能である。
ガス分配装置挿入部240は、挿入部240を貫通して延びる複数の径方向スロット2
52を有しており、処理ガスが通過可能である。スロット252は互いに間隔を置いて放
射線状に配置されている。例えば、ある態様において、ガス分配装置挿入部240は約5
から約50個のスロット252を、例えば約20個のスロット252を有している。ある
態様において、各スロット252は長さ約0.4〜約1.2インチ、幅約0.01〜約0
.05インチを有している。各スロット252は、挿入部240の環状プレートにおいて
、既定の径方向又は円周角を有するように方向付けされている。スロット252は角度を
成して、均一なピッチでプレートを貫通している。スロット252をこのように配置する
ことで、ガス分配装置挿入部240を流れる処理ガスの渦流を維持する。スロット252
のピッチは、スロット252を流れる渦流が最適化されるように選択され、約20〜約7
0°、又はより典型的には約45°である。半径方向に角度を付けて配置されたスロット
252により処理ガスは基板24上で分散され、均一な厚さのガス分子が基板24の処理
表面上に吸着される。
一実施形態において、ガス分配装置挿入部240は、その中心部付近において挿入部2
40を貫通して延びる、複数の円筒状チャネル246を有しており、処理ガスはこのチャ
ネルを通って流れる。チャネル246は約5〜20本のチャネルを含んでいてよく、ある
態様において、12本のチャネルを含む。チャネル246は突出部244の基部から始ま
り、挿入部240の裏面で終端している。円筒状チャネル246は、突出部244の基部
を中心とした、円形の左右対称な構成に配置されており、突出部244の下の位置で終端
するように内側に傾斜している。一実施形態において、チャネル246は、垂直軸に対し
て30〜60°の角度を成している。傾斜したチャネル246により処理ガスは基板表面
の中央領域に送られ、基板上に均一に堆積される。円筒状チャネル246の直径は約0.
01〜約0.1インチであり、ある態様において、チャネル246の上端部の直径はチャ
ネル246の下端部の直径よりも大きい。これにより、チャネル246内での逆流を軽減
することができる。
この実施形態において、チャンバ22に導入された処理ガスは、チャンバ22aの処理
ゾーン34a内で処理ガスにエネルギーを結合するガス・エナジャイザによってエネルギ
ー印加される。例えば、ガス・エナジャイザは、電気的なバイアスにより処理ガスにエネ
ルギー印加する処理電極、チャンバ22aの中心部を中心として円対称性を有しているイ
ンダクタ・コイルを含むアンテナ、又はチャンバ22aから離れた上流域においてマイク
ロ波エネルギーによって処理ガスを活性化させるマイクロ波源及び導波管を備えていてよ
い。
プラズマALDチャンバ22aでの使用に適したチャンバライナ120aは、図7Aに
図示されている。この態様のチャンバライナ120aは、チャンバ22aの側壁部30a
も覆うことで処理ゾーン34aを取り囲み、チャンバ22aの壁部を処理ガスから遮断し
ている。チャンバライナ120aは、一部は酸化アルミニウム(Al)又は窒化ア
ルミニウム(AlN)等のセラミック材料、一部はアルミニウム又はステンレススチール
等の金属から形成されている。チャンバライナ120aは、図7Aに図示されるように、
第1直径を有する第1環状バンド部126aと、第1環状バンド部126aの直径より大
きい第2直径を有する第2環状バンド部128aを含む。例えば、第2環状バンド部12
8aの第2直径は、第1環状バンド部126aの第1直径より少なくとも約1cm大きい
。第1環状バンド部126aは第1高さも含み、第2環状バンド部128aは第1環状バ
ンド部126aの第1高さよりも少なくとも約0.5cm高い第2高さを含む。チャンバ
ライナ120aの第1及び第2環状バンド部126a、128aはその底端部134a、
bにおいて、円形の径方向フランジ130aによって接合され、更に、径方向棚部136
aが第2環状バンド部128aの中間部138aをチャンバライナ120aの第1環状バ
ンド部126aの上端部140aに接合している。
チャンバライナ120aは第1囲み開口部139aも有しており、この開口部により処
理ガスは第1及び第2環状バンド部126a、128aを通って処理ゾーン34aから排
気ポート54aへと流れることができる。第1開口部139aは、第1環状バンド部12
6aを貫通して延びる第1スロット146aと、第1環状バンド部126aの第1スロッ
ト146aと整列させた第2環状バンド部128aを貫通して延びる第2スロット146
bとを整列させることで形成される。揃えられたスロット146a、bは、平面上壁部1
42aと底壁部144aに取り囲まれ、囲まれ第1開口部139aを形成している。ある
態様において、第1及び第2スロット146a、bは、丸みを帯びた角部を有する矩形か
ら構成される。例えば、各矩形の長さは約12〜18インチ、高さは約0.75〜3イン
チである。チャンバライナ120aは、第1環状バンド部126aに第2開口部149a
も有しており、排気ポート52aに向かって開口している。第2開口部149aは、丸み
を帯びた角部を有する矩形から構成され、約5〜9インチの長さと、約0.75〜3イン
チの高さを有している。第1及び第2開口部139a、149aにより、チャンバライナ
120a内のガスの通過が促進される。
チャンバライナ120aは、更に、特殊な形状の内側シールドリング125と、上方シ
ールドリング145を含む。図7Aと図7Bを参照するが、内側シールドリング125は
、ALDチャンバ22a内においてガス分配装置40aに面する基板支持体26を取り囲
むように寸法設計された直径を有している。内側シールドリング125は、処理ゾーン3
4a内のガスに対する部分的物理バリアとしての機能を果たしている。内側シールドリン
グ125は、外側方向に向かって延びる上部支持リップ部127を有するバンド部を含む
。内側シールドリング125の支持リップ部127は、チャンバライナ120aの第1環
状バンド部126aの上端部146a上に載置されている。
バンド部の上面129は、周縁領域が径方向内側領域よりも高くなるように形成されて
いる。上面129は、内側傾斜部131、中間水平部133、及び外側隆起部135を含
む。ガス流の乱れを最小限に抑えるために、上面129のこれらの領域は滑らかな角部に
よって連結されている。隆起部133は、外側に向かって延びるリップ部127の上に位
置しており、基板支持アセンブリの周縁部の高さより約0.01〜約0.5インチ高い高
さを有している。隆起部133は、処理領域38aから半径方向外側に向かって流れる活
性化処理ガスを阻止するバリアとしての役割を果たしている。内側シールドリング125
の半径方向内側の領域は、第1環状バンド部126aから内側に向かって約0.2〜約0
.7インチ延びており、基板支持体26とチャンバライナ120aとの間の間隙部137
の片側を規定している。内側シールドリングと基板支持アセンブリの縁部は間隙部137
付近で丸く加工されているため、チャンバのパージ工程中における処理ガスの乱れが低下
する。乱れの低下により、流れ抵抗が低下し、より効果的なパージ工程となる。
上方シールドリング145は第2バンド部128aの上面に載置される。上方シールド
リング145はチャンバ側壁部30aの上部と、天井アセンブリの周縁部を処理ゾーン3
4aの活性ガスから遮断し、処理ガスのチャンバ本体部上への堆積と本体部のエッチング
による侵食を軽減している。上方シールドリング145は、内側に向かって延びる棚部1
43によってキャップ部された外側円筒状バンド部141を含む。棚部143はバンド部
141から半径方向内側に向かって約0.25〜約1インチに亘って延びている。上方シ
ールドリング145はセラミックを含み、厚さ約0.25〜約1インチを有している。
本願に記載のALDチャンバ22、22a及びその構成部品により、基板24に堆積さ
れる原子層の厚さと組成の適合性が大幅に改善される。例えば、ガス分配装置40の構造
により、ガス分子は急速に流れる渦となり、基板24表面上をより迅速に通過し、基板2
4表面上でのガス吸着がより良好かつ均一となる。また、ガスが渦となることで、チャン
バ22内においてガス分子が停滞した領域が形成されることが防止される。更に、基板2
4の表面における反応ガスの圧力が均一な場合、原子層の堆積はより均一となる。本考案
のガス分配装置40により、基板24の表面におけるガス圧はより良好なものとなり、基
板24全体に亘って、堆積されるALD層の厚さはより均一となる。
チャンバライナ120及び排気シールドアセンブリ160といった構成部品は、チャン
バ22からのガス種の迅速な除去を可能ならしめることでALD処理を補佐してもおり、
新鮮なガス分子が基板24の表面に付着する。ガス種の迅速な除去によりALDチャンバ
22を処理ガス工程間で効果的かつ効率的にパージすることが可能になる。更に、処理ガ
スが高い崩壊率を有する有機分子又は反応ガスを含む場合、処理ガスの導入の間隔、従っ
てはチャンバ22の効果的なパージに必要な時間が重要な処理パラメータとなる。更に、
チャンバライナ120及び排気シールドといった構成部品はチャンバ22から容易に分解
及び取り外しが可能なため、本来ならこれらの構成部品の洗浄又は交換に必要となるチャ
ンバ22の稼動停止時間が短縮される。
本考案をその特定の好ましい態様を参照して説明してきたが、その他の態様も可能であ
る。例えば、排気ライナ又はその構成部品及びチャンバライナ120、120aを別のタ
イプの用途、例えばエッチング、CVD及びPVDチャンバで用いることもでき、当業者
には明らかである。また、各種構成部品のフランジの形状は、異なるチャンバフランジ及
び支持壁部との連結に応じて異なるものであってもよい。また、各種構成部品の組成材料
は用途に応じて異なっていてよく、複合セラミック材料又はプラズマ励起式又はハイブリ
ッドエッチング処理における適用では完全なセラミック材料であってもよい。従って、実用新案登録請求の範囲の精神と範囲は、本願に含まれている好ましい態様の説明に限定されるべきではない。

Claims (30)

  1. 原子層堆積チャンバ用のガス分配装置であり、
    (a)少なくとも1つのガス流入部と、ガス流出部と、ガス流入部とガス流出部との間
    の円錐状流路を含む中央キャップ部と、
    (b)処理ガスを中央キャップ部のガス流出部から受け取る第1円錐状開口部と、第1
    円錐状開口部から半径方向外側に向かって延びる第2円錐状開口部と、チャンバの側壁部
    上に据えられる周縁棚部を含む天井プレートを含むガス分配装置。
  2. 中央キャップ部の円錐状流路が、以下の構成である、
    (i)2.6cm未満である第1直径及び少なくとも3cmである第2直径、及び、
    (ii)垂直から20°〜25°の角度で傾斜している円錐状面、
    の少なくとも1つを含む請求項1記載のガス分配装置。
  3. (i)第1直径が0.2〜2.6cmであり、第2直径が3〜7.5cmである請求項
    2記載のガス分配装置。
  4. 中央キャップ部が複数のガス流入部を含み、これらのガス流入部が、
    (i)水平面に沿った離間、及び
    (ii)少なくとも45°の分離角での位置決め、
    により互いにずらして配置されている請求項1記載のガス分配装置。
  5. 天井プレートの第1又は第2円錐状開口部が、以下の構成である、
    (i)これらの開口部が異なる傾斜角を有する円錐状面を含むこと、
    (ii)第1円錐状開口部が20°〜25°の傾斜角を有する円錐状面を含むこと、及

    (iii)第2円錐状開口部が3°〜5°の傾斜角を有する円錐状面を含むこと、
    の少なくとも1つを含む請求項1記載のガス分配装置。
  6. 中央キャップ部と天井プレート付近に熱伝達流体を流すための流体導管を更に含み、流
    体導管が、以下の構成である、
    (i)天井プレートに機械加工されたチャネル、及び
    (ii)矩形形状、
    の少なくとも1つを含む請求項1記載のガス分配装置。
  7. キャップ部又は天井プレートの少なくとも1つがセラミックから構成される請求項1記
    載のガス分配装置。
  8. 原子層堆積チャンバ用のチャンバライナであり、
    (a)第1直径と、その中を貫通して延びる第1スロットを有する第1環状バンド部と

    (b)第1環状バンド部の直径より大きく寸法設計された第2直径と、第1環状バンド
    部の第1スロットに整列された第2スロットを有する第2環状バンド部と、
    (c)第1及び第2環状バンド部を接合している径方向フランジを含むチャンバライナ
  9. 第1及び第2スロットが、以下の構成である、
    (i)丸みを帯びた角部、
    (ii)12〜18インチの長さ、及び
    (iii)0.75〜3インチの高さ、
    の少なくとも1つを有する矩形を含む請求項8記載のライナ。
  10. 第1及び第2環状バンド部が、以下の構成である、
    (i)環状バンド部が底端部を含み、径方向フランジがこれらの底端部を接合している
    こと、
    (ii)環状バンド部が中間部を含み、チャンバライナが中間部を接合する径方向棚部
    を更に含むこと、及び
    (iii)第1環状バンド部が第1高さを含み、第2環状バンド部が第1高さより高い
    第2高さを含むこと、
    の少なくとも1つを含む請求項8記載のライナ。
  11. アルミニウムから構成される請求項8記載のライナ。
  12. 原子層堆積チャンバ用の排気シールドアセンブリであり、
    (a)外周を有する閉じられた矩形のバンド部と、矩形のバンド部の外周を超えて垂直
    に延びる平面フレーム部を含む内側シールドと、
    (b)(i)上端部と、内側シールドの矩形バンド部に嵌合する内側矩形切抜き部と、
    外側円形切抜き部を有する管状格納部と、(ii)管状格納部の上端部を覆うカバーを含
    むポケットシールドと、
    (c)(i)互いに接合された第1及び第2シリンダであり、第1シリンダが第2シリ
    ンダより大きく寸法設計されている第1及び第2シリンダと、(ii)第2シリンダに取
    り付けられ、第2シリンダを越えて垂直に延びる平面部材を含む外側シールドを含む排気
    シールドアセンブリ。
  13. 基板処理チャンバが内壁部及び外壁部と円形の流出ポートを有する中空排気ブロックを
    含み、アセンブリが、以下の構成である、
    (i)ポケットシールドが、中空排気ブロックの内側に嵌合するように寸法設計されて
    いること、
    (ii)内側シールドが、中空排気ブロックの内壁部上に位置するように適合され、閉
    じられた矩形のバンド部が中空排気ブロックの矩形の流入ポートに嵌合するように寸法設
    計されていること、及び
    (iii)外側シールドが、中空排気ブロックの外壁部上に位置するように適合され、
    外側シールドの第2シリンダが中空排気ブロックの円形の流出ポートに嵌合するように寸
    法設計されていること、
    の少なくとも1つを含む請求項12記載のアセンブリ。
  14. 内側シールド、ポケットシールド、及び外側シールドがアルミニウムで形成される請求
    項12記載のアセンブリ。
  15. 内側シールド、ポケットシールド、及び外側シールドの少なくとも1つが、50〜62
    マイクロインチの表面粗さを有するビード・ブラスト加工面を含む請求項12記載のアセ
    ンブリ。
  16. 基板処理チャンバ用の蓋アセンブリであり、
    (a)底面を有するチャンバ蓋部と、
    (b)チャンバ蓋部の底面に嵌合する、中央穴部を含むシャワーヘッドと、
    (c)シャワーヘッドの中央穴部に嵌入し、互いに離間された複数の径方向スロットを
    有するガス分配装置挿入部を含む蓋アセンブリ。
  17. シャワーヘッドが500〜2500個の孔を有している請求項16記載のアセンブリ。
  18. 挿入部がアルミニウムから構成される請求項16記載のアセンブリ。
  19. 挿入部が径方向スロットを含み、径方向スロットが、以下の構成である、
    (i)5〜50個の径方向スロット数、
    (ii)0.01〜0.05インチの幅、
    (iii)0.4〜1.2インチの長さ、
    (iv)各径方向スロットが少なくとも30°で傾斜していること、
    の少なくとも1つを有している請求項16記載のアセンブリ。
  20. 原子層堆積チャンバであり、
    (a)底壁部を取り巻く側壁部と、
    (b)底壁部を貫通して延びる基板支持体と、
    (c)ガス分配装置を含み、ガス分配装置が
    (i)少なくとも1つのガス流入部と、ガス流出部と、ガス流入部とガス流出部との間
    の円錐状流路を含む中央キャップ部と、
    (ii)処理ガスを中央キャップ部のガス流出部から受け取る第1円錐状開口部と、第
    1円錐状開口部から半径方向外側に向かって延びる第2円錐状開口部と、チャンバの側壁
    部上に据えられる周縁棚部を含む天井プレートを含み、
    原子層堆積チャンバが更に、
    (d)処理ガスを処理ゾーンから排出するための排気ポートを含むチャンバ。
  21. ガス分配装置の中央キャップ部の円錐状流路が、以下の構成である、
    (i)2.6cm未満である第1直径及び少なくとも3cmである第2直径、及び
    (ii)垂直から20°〜25°の角度で傾斜している円錐状面、
    の少なくとも1つを含む請求項20記載のチャンバ。
  22. (i)第1直径が0.2〜2.6cmであり、第2直径が3〜7.5cmである請求項
    21記載のチャンバ。
  23. ガス分配装置の中央キャップ部が複数のガス流入部を含み、これらのガス流入部が、以
    下の構成である、
    (i)ガス流入部が、互いにずれて配置されていること、
    (ii)ガス流入部が、水平面に沿って離間させることで互いにずらして配置されてい
    ること、及び
    (ii)ガス流入部が、少なくとも45°の分離角で位置決めすることで互いにずらし
    て配置されていること、
    の少なくとも1つを有している請求項21記載のチャンバ。
  24. 天井プレートの第1又は第2円錐状開口部が、以下の構成である、
    (i)第1及び第2円錐状開口部が、異なる傾斜角を有する円錐状面を含むこと、
    (ii)第1円錐状開口部が、20°〜25°の傾斜角を有する円錐状面を含むこと、
    及び
    (iii)第2円錐状開口部が、3°〜5°の傾斜角を有する円錐状面を含むこと、
    の少なくとも1つを含む請求項21記載のチャンバ。
  25. 中央キャップ部と天井プレート付近に熱伝達流体を流すための流体導管を更に含み、流
    体導管が、以下の構成である、
    (i)流体導管が、天井プレートに機械加工されたチャネルを含むこと、及び
    (ii)流体導管が矩形であること、
    の少なくとも1つを含む請求項21記載のチャンバ。
  26. キャップ部又は天井プレートの少なくとも1つがセラミックから構成される請求項21
    記載のチャンバ。
  27. 原子層堆積チャンバであり、
    (a)処理ゾーンの周囲の側壁部と、
    (b)処理ゾーンにおいて基板を受けることが可能な基板支持体と、
    (c)処理ゾーンを取り囲むチャンバライナ
    を含み、チャンバライナが
    (i)第1直径と、それを貫通して延びる第1スロットを有する第1環状バンド部と、
    (ii)第1環状バンド部の直径より大きく寸法設計された第2直径と、第1環状バン
    ド部の第1スロットに整列された第2スロットを有する第2環状バンド部と、
    (iii)第1及び第2環状バンド部を接合している径方向フランジを含み、
    原子層堆積チャンバが更に、
    (d)処理ガスを処理ゾーンに導入するためのガス分配装置と、
    (e)処理ガスを排出するための排気部を含むチャンバ。
  28. チャンバライナの第2環状バンド部の第1及び第2スロットが、以下の構成である、
    (i)丸みを帯びた角部、
    (ii)12〜18インチの長さ、及び
    (iii)0.75〜3インチの高さ、
    の少なくとも1つを有する矩形を含む、請求項27記載のチャンバ。
  29. チャンバライナの第1及び第2環状バンド部が、以下の構成である、
    (i)環状バンド部が底端部を含み、径方向フランジがこれらの底端部を接合している
    こと、
    (ii)環状バンド部が中間部を含み、チャンバライナが中間部を接合する径方向棚部
    を更に含むこと、及び
    (iii)第1環状バンド部が第1高さを含み、第2環状バンド部が第1高さより高い
    第2高さを含むこと、
    の少なくとも1つを含む請求項27記載のチャンバ。
  30. アルミニウムから構成される請求項27記載のライナ。
JP2011005595U 2007-09-28 2011-09-26 原子層堆積チャンバ及び構成部品 Expired - Lifetime JP3176540U (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/864,053 US20090084317A1 (en) 2007-09-28 2007-09-28 Atomic layer deposition chamber and components
US11/864,053 2007-09-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008249195A Continuation JP2009111359A (ja) 2007-09-28 2008-09-26 原子層堆積チャンバ及び構成部品

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2012002305U Division JP3176689U (ja) 2007-09-28 2012-04-18 原子層堆積チャンバ及び構成部品
JP2012002308U Division JP3181490U (ja) 2007-09-28 2012-04-18 原子層堆積チャンバ及び構成部品

Publications (1)

Publication Number Publication Date
JP3176540U true JP3176540U (ja) 2012-06-28

Family

ID=40506760

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2008249195A Pending JP2009111359A (ja) 2007-09-28 2008-09-26 原子層堆積チャンバ及び構成部品
JP2011005595U Expired - Lifetime JP3176540U (ja) 2007-09-28 2011-09-26 原子層堆積チャンバ及び構成部品
JP2012002305U Expired - Lifetime JP3176689U (ja) 2007-09-28 2012-04-18 原子層堆積チャンバ及び構成部品
JP2012002308U Expired - Fee Related JP3181490U (ja) 2007-09-28 2012-04-18 原子層堆積チャンバ及び構成部品

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008249195A Pending JP2009111359A (ja) 2007-09-28 2008-09-26 原子層堆積チャンバ及び構成部品

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2012002305U Expired - Lifetime JP3176689U (ja) 2007-09-28 2012-04-18 原子層堆積チャンバ及び構成部品
JP2012002308U Expired - Fee Related JP3181490U (ja) 2007-09-28 2012-04-18 原子層堆積チャンバ及び構成部品

Country Status (5)

Country Link
US (1) US20090084317A1 (ja)
JP (4) JP2009111359A (ja)
KR (1) KR200469438Y1 (ja)
CN (1) CN201367461Y (ja)
TW (4) TWM372533U (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体

Families Citing this family (440)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110127156A1 (en) * 2009-11-30 2011-06-02 Applied Materials, Inc. Chamber for processing hard disk drive substrates
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6040075B2 (ja) * 2013-03-27 2016-12-07 株式会社アルバック 真空成膜装置及び成膜方法
KR102202406B1 (ko) * 2013-05-23 2021-01-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버를 위한 코팅된 라이너 어셈블리
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN108140550B (zh) * 2015-10-08 2022-10-14 应用材料公司 具有减少的背侧等离子体点火的喷淋头
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10480070B2 (en) * 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102349330B1 (ko) * 2016-12-02 2022-01-07 어플라이드 머티어리얼스, 인코포레이티드 박막 캡슐화 처리 시스템 및 프로세스 키트
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019212685A1 (en) * 2018-05-04 2019-11-07 Applied Materials, Inc. Pressure skew system for controlling center-to-edge pressure change
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10697062B2 (en) * 2018-07-11 2020-06-30 Applied Materials, Inc. Gas flow guide design for uniform flow distribution and efficient purge
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN113169101B (zh) 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11993845B2 (en) 2019-03-05 2024-05-28 Applied Materials, Inc. High selectivity atomic layer deposition process
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TWI833954B (zh) * 2019-05-28 2024-03-01 美商應用材料股份有限公司 用於改善處理腔室中的流動控制的設備
CN110211900B (zh) * 2019-05-31 2022-02-25 昆山国显光电有限公司 一种天板及干刻设备
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2022540372A (ja) 2019-07-04 2022-09-15 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバのためのアイソレータ装置及び方法
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11424096B2 (en) 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
DE102020103946A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlasseinrichtung für einen CVD-Reaktor
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TWI750836B (zh) * 2020-10-06 2021-12-21 天虹科技股份有限公司 可拆式粉末原子層沉積裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115505903B (zh) * 2022-09-30 2024-01-30 楚赟精工科技(上海)有限公司 气体注入机构及其制作方法、气相反应装置
CN115572958B (zh) * 2022-09-30 2023-08-11 楚赟精工科技(上海)有限公司 一种气体输送组件及气相反应装置

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3117883A (en) * 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4995958A (en) * 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
EP0406690B1 (en) * 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US4996859A (en) * 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH071675B2 (ja) * 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP2938679B2 (ja) * 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
CH690805A5 (de) * 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US6199259B1 (en) * 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US5487822A (en) * 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
AU3708495A (en) * 1994-08-01 1996-03-04 Franz Hehmann Selected processing for non-equilibrium light alloys and products
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) * 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) * 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5614071A (en) * 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
US5879524A (en) * 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6190513B1 (en) * 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6036587A (en) * 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) * 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
JP4143131B2 (ja) * 1996-12-21 2008-09-03 ジングルス・テヒノロギース・アクチェンゲゼルシャフト カソードスパッタリング装置および方法
JP3727355B2 (ja) * 1996-12-25 2005-12-14 松下電器産業株式会社 部品保持ヘッド、部品装着装置、及び部品保持方法
WO1998031845A1 (en) * 1997-01-16 1998-07-23 Bottomfield, Layne, F. Vapor deposition components and corresponding methods
KR100246858B1 (ko) * 1997-05-07 2000-03-15 윤종용 건식 식각 장치
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US5879573A (en) * 1997-08-12 1999-03-09 Vlsi Technology, Inc. Method for optimizing a gap for plasma processing
US6010583A (en) * 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6183686B1 (en) * 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000144399A (ja) * 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
JP4141560B2 (ja) * 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6500299B1 (en) * 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
US6689252B1 (en) * 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6190516B1 (en) * 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6358376B1 (en) * 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6506289B2 (en) * 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
US6810887B2 (en) * 2000-08-11 2004-11-02 Chemtrace Corporation Method for cleaning semiconductor fabrication equipment parts
EP1322444A4 (en) * 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
JP3895277B2 (ja) * 2000-11-17 2007-03-22 日鉱金属株式会社 パーティクル発生の少ないスパッタリングターゲット又はバッキングプレートに接合したスパッタリングターゲット及びその製造方法
US6887356B2 (en) * 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
KR100855512B1 (ko) * 2001-04-24 2008-09-02 토소우 에스엠디, 인크 타겟과 타겟 프로파일의 최적화 방법
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) * 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6849134B2 (en) * 2001-09-10 2005-02-01 Kemet Electronics Corporation Minimum volume oven for producing uniform pyrolytic oxide coatings on capacitor anodes
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100956189B1 (ko) * 2001-10-26 2010-05-04 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착용 가스 전달 장치
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6676812B2 (en) * 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
US6708870B2 (en) * 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6846396B2 (en) * 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6992261B2 (en) * 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) * 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) * 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7504008B2 (en) * 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060021870A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9518321B2 (en) 2014-07-31 2016-12-13 Hitachi Kokusai Electric Inc. Atomic layer deposition processing apparatus to reduce heat energy conduction

Also Published As

Publication number Publication date
JP3181490U (ja) 2013-02-14
US20090084317A1 (en) 2009-04-02
JP2009111359A (ja) 2009-05-21
TWM373363U (en) 2010-02-01
JP3176689U (ja) 2012-06-28
KR200469438Y1 (ko) 2013-10-11
TWM389934U (en) 2010-10-01
TWM376895U (en) 2010-03-21
TWM372533U (en) 2010-01-11
KR20120002359U (ko) 2012-04-04
CN201367461Y (zh) 2009-12-23

Similar Documents

Publication Publication Date Title
JP3176540U (ja) 原子層堆積チャンバ及び構成部品
US6827815B2 (en) Showerhead assembly for a processing chamber
JP5270057B2 (ja) シャワーヘッド
US6263829B1 (en) Process chamber having improved gas distributor and method of manufacture
TWI503444B (zh) 半導體基板處理方法
US6110556A (en) Lid assembly for a process chamber employing asymmetric flow geometries
TWI404818B (zh) 反應室蓋板可降低之cvd反應器
JP5668925B2 (ja) シャワーヘッドおよびこれを含む基板処理装置、並びにシャワーヘッドを用いてプラズマを供給する方法
KR100271191B1 (ko) 반도체 기판상에서 가장자리 증착을 제어하는 장치 및 그 방법
KR101052446B1 (ko) 온도 제어면을 갖는 기판 지지체
JP5849124B2 (ja) 基板処理チャンバ用処理キット
KR101272321B1 (ko) 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
KR200483057Y1 (ko) 물리 기상 증착 챔버를 위한 실드, 스퍼터링 타겟의 스퍼터링 표면을 에워싸기 위한 실드, 및 프로세스 키트
KR100747735B1 (ko) 반도체 제조 장치
TW201841208A (zh) 基板處理設備
KR19980033001A (ko) 화학 증착 플라즈마 반응기에서의 면판 열 초크
JP2010538488A (ja) 基板処理装置
TW202230471A (zh) 熱均勻的沉積站
CN109321894B (zh) 一种增强清洗效果的沉积系统及方法
JP2017520120A (ja) エピタキシャルチャンバへのガス注入装置
KR200455917Y1 (ko) 원자층 증착 챔버 및 부품들
KR101172274B1 (ko) 가스 분사 장치 및 이를 구비하는 기판 처리 장치
KR200462383Y1 (ko) 원자층 증착 챔버 및 부품들
JP2023531409A (ja) 堆積用途のための高温面板
TW202242194A (zh) 基片承載組件、化學氣相沉積設備及吹掃方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120418

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150606

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term