CN201367461Y - 原子层沉积室及其部件 - Google Patents

原子层沉积室及其部件 Download PDF

Info

Publication number
CN201367461Y
CN201367461Y CNU200820136183XU CN200820136183U CN201367461Y CN 201367461 Y CN201367461 Y CN 201367461Y CN U200820136183X U CNU200820136183X U CN U200820136183XU CN 200820136183 U CN200820136183 U CN 200820136183U CN 201367461 Y CN201367461 Y CN 201367461Y
Authority
CN
China
Prior art keywords
gas
chamber
endless belt
diameter
atomic layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNU200820136183XU
Other languages
English (en)
Inventor
迪-业·吴
舒伯特·S·楚
保罗·马
杰弗里·托宾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN201367461Y publication Critical patent/CN201367461Y/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本实用新型涉及一种原子层沉积室,其包括气体分配器,该气体分配器包括在气体入口和气体出口之间具有圆锥形通路的中心覆盖。气体分配器还具有包括连接着的第一和第二圆锥形孔的吊线板。第一圆锥形孔从中心覆盖的气体出口接收处理气体。第二圆锥形孔从第一圆锥形孔向外径向延伸。该气体分配器还具有位于室侧壁上的外围凸缘。

Description

原子层沉积室及其部件
技术领域
本实用新型的各实施方式涉及原子层沉积室及其部件。
背景技术
在集成电路和显示器的制造中,原子层沉积(ALD)室用于在基板上沉积具有原子量级厚度的原子层。通常,ALD室包括将处理气体引入到其中的外壳和排气并控制室中处理气体压力的排气装置。在一种类型的原子层沉积处理中,将第一处理气体引入到室中以形成被吸收到基板表面上的气体分子薄层;且之后,引入第二处理气体以与被吸收的气体分子层反应以在基板上形成原子层。该处理气体可包括常规加压气体或载气以将有机或其它分子传送到室中。通常,在传递每一种处理气体之间净化该室。净化可以是持续的,其中将连续载气流提供到室,或者是脉冲式的,其中提供不连续的或脉冲式的载气流。
由于日益将ALD处理用于在基板上沉积原子层,因此用于CVD或PVD处理的常规基板处理室被转变成ALD室。但是,常规室通常不提供ALD处理所需的足够高等级的气体分布、等离子体或热均匀性。例如,ALD室使用特定类型的气体分配器、屏蔽和排气部件,所有这些共同协作以从基板表面那边提供更加均匀的处理气体种类的传送以及去除。ALD转换室也会需要用于不同类型ALD处理诸如热或等离子体增强ALD(PEALD)处理的特定部件。在热ALD中,提供热以引起两个或多个吸收到基板表面上的反应物之间的化学反应。在热ALD中,需要另外的室部件以加热或冷却基板或其他室表面。PEALD处理需要气体激励器以激励处理气体,且将其其部件设计成通过被激励处理气体抵抗蚀刻。由此,还希望具有容易将常规室转换成ALD室的室转换配件。
ALD室部件也需要横跨基板提供良好的气体分布均匀性而不会引入其它不利影响。例如,在等离子体辅助ALD中,提供直接流到基板表面上的处理气体流增加了不利地蚀刻基板表面的可能性。当处理气体种类与内部室表面而不是基板反应时,热ALD处理提供降低的气体效率。而且,常规喷头气体分配器通常在基板中心区域上以较基板外围区域高的浓度提供处理气体。沉积期间,横跨基板表面也难以获得均匀的处理气体种类压力。有时还希望在按顺序的处理气体步骤之间有效地净化ALD室。
由此,需要一种能够用于改进常规室的ALD处理配件以及室部件。还需要横跨基板提供较好的气体、温度和压力均匀性同时还允许快速净化处理气体的ALD室部件。
实用新型内容
本实用新型提供了一种原子层沉积室,其包括含有中心覆盖(central cap)的气体分配器,该中心覆盖在气体入口和气体出口之间具有圆锥形通路。气体分配器还具有吊线板(ceiling plate),该吊线板包括连接的第一和第二圆锥形孔。第一圆锥形孔从中心覆盖的气体出口接收处理气体。第二圆锥形孔从第一圆锥形孔向外径向延伸。气体分配器还具有位于室侧壁上的外围凸缘。
具体而言,本实用新型提供了一种气体分配器,用于原子层沉积室,该气体分配器包括:
(a)中心覆盖,其包括至少一个气体入口、气体出口和在气体入口和气体出口之间的圆锥形通路;和
(b)吊线板,其包括从中心覆盖的气体出口接收处理气体的第一圆锥形孔,从第一圆锥孔向外径向延伸的第二圆锥形孔,以及位于室侧壁上的外围凸缘。
在本实用新型的气体分配器中,所述中心覆盖的圆锥形通路包括以下特征中的至少一个:
(i)第一和第二直径,第一直径小于2.6cm和第二直径至少为3cm;和
(ii)圆锥形表面,其与垂直轴成20°至25°角倾斜。
在本实用新型的气体分配器中,上述第(i)项特征中第一直径为0.2至2.6cm和第二直径为3至7.5cm。
其中所述的中心覆盖包括相互偏移的多个气体入口,所述的气体入口按如下方式设置:
(i)沿着水平平面以一定间距隔开;和
(ii)被设置成至少45度的夹角。
在本实用新型的气体分配器中,所述吊线板的第一或第二圆锥形孔包括以下特征中的至少一个:
(i)所述的孔包括具有不同倾斜角的圆锥形表面;
(ii)所述第一圆锥形孔包括具有20°至25°倾斜角的圆锥形表面;
(iii)所述第二圆锥形孔包括具有3°至5°倾斜角的圆锥形表面。
本实用新型的气体分配器还进一步包括在中心覆盖和吊线板附近的流体管道,提供该流体管道用于在其中通过传热流体,且该流体管道包括以下特征中的至少一个:
(i)被机械加工成进入吊线板的通道;和
(ii)呈矩形形状。
其中所述中心覆盖或吊线板中的至少一个由陶瓷材料构成。
本实用新型还提供了一种用于原子层沉积室的室衬套,该室衬套包括:
(a)第一环形带,其具有第一直径和通过第一环形带延伸的第一狭槽;
(b)第二环形带,其具有尺寸大于第一环形带直径的第二直径,且具有与第一环形带的第一狭槽对准的第二狭槽;和
(c)径向凸边,其结合了第一和第二环形带。
上述室衬套中,所述第一和第二狭槽包括具有以下特征中至少一种的矩形:
(i)圆形拐角;
(ii)从12至18英寸的长度;和
(iii)从0.75至3英寸的高度。
所述第一和第二环形带包括以下特征中的至少一种:
(i)环形带包括底边缘,且其中径向凸边结合底边缘;(ii)环形带包括中间部分,且其中室衬套还包括结合中间部分的径向凸缘;和
(iii)第一环形带包括第一高度和第二环形带包括大于第一高度的第二高度。
本实用新型的室衬套可以由铝构成。
本实用新型还提供了一种排气屏蔽组件,用于原子层沉积室,该组件包括:
(a)内部屏蔽,其包括具有周边的闭合矩形带,和垂直延伸出矩形带周边的平坦框架;
(b)穴式屏蔽,其包括(i)具有顶端的管状外壳,与内部屏蔽的矩形带匹配的内部矩形切块,以及外部圆形切块,和(ii)覆盖管状外壳顶端的盖;和
(c)外部屏蔽,包括(i)相互结合的第一和第二圆柱体,第一圆柱体尺寸大于第二圆柱体,和(ii)贴装到第二圆柱体且垂直延伸出第二圆柱体的平坦部件。
基板处理室包括中空的排气块,该排气块具有内壁和外壁以及圆形出口端,且其中该组件包括以下特征中的至少一个:
(i)穴式屏蔽尺寸与中空排气块内部匹配;(ii)内部屏蔽适合于设置在中空排气块内壁上且闭合矩形带尺寸可套在中空排气块矩形入口端上方;和
(iii)外部屏蔽适合于设置在中空排气块外壁上和外部屏蔽的第二圆柱体尺寸与中空排气块的圆形出口端匹配。
上述组件中,所述内部屏蔽、穴式屏蔽和外部屏蔽均由铝构成。
另外,所述内部屏蔽、穴式屏蔽和外部屏蔽中的至少一个包括破水珠的表面,该表面具有50至62微英寸的表面粗糙度。
本实用新型还提供了一种用于基板处理室的盖组件,该盖组件包括:
(a)具有底表面的室盖;
(b)喷头,其匹配在室盖的底表面中,该喷头包括中心孔;和
(c)气体分配器插入件,匹配到喷头的中心孔中,该插入件具有相互以一定间隔隔开的多个径向狭槽。
上述盖组件中,所述喷头具有500至2500个孔。
上述盖组件中的插入件可以由铝构成。
所述插入件包括径向狭槽,该径向狭槽具有以下特征中的至少一个:
(i)从5至50的径向狭槽数目;
(ii)从0.01至0.05英寸的宽度;
(iii)从0.4至1.2英寸的长度;
(iv)每个径向狭槽都倾斜至少30°。
本实用新型提供了一种原子层沉积室,该原子层沉积室包括:
(a)围绕底壁的侧壁;
(b)通过底壁延伸的基板支架;
(c)气体分配器,该气体分配器包括:
(i)中心覆盖,该中心覆盖包括至少一个气体入口、气体出口以及在气体入口和气体出口之间的圆锥形通路;和
(ii)吊线板,该吊线板包括从中心覆盖的气体出口接收处理气体的第一圆锥形孔,从第一圆锥形孔向外径向延伸的第二圆锥形孔以及位于室侧壁上的外围凸缘;和
(d)排气端口,用于从处理区域排出处理气体。
在上述原子层沉积室中,所述气体分配器的中心覆盖的圆锥形通路包括以下特征中的至少一种:
(i)第一和第二直径,且其中第一直径小于2.6cm和第二直径至少为3cm;和
(ii)圆锥形表面,其从垂直方向以20°至25°角度倾斜。
上述第(i)项特征中,第一直径可以为0.2至2.6cm和第二直径可以为3至7.5cm。
在本实用新型的原子层沉积室中,所述气体分配器的中心覆盖包括多个气体入口,且具有以下特征中至少一个;
(i)气体出口相互偏移;
(ii)气体入口通过沿着水平平面以一定距离间隔相互偏移;和
(iii)气体入口通过被设置成至少45度夹角相互偏移。
上述原子层沉积室的吊线板的第一和第二圆锥形孔包括以下特征中的至少一个:
(i)第一和第二圆锥形孔包括具有不同倾斜角的圆锥形表面;
(ii)第一圆锥形孔包括具有从20°至25°倾斜角的圆锥形表面;和
(iii)第二圆锥形孔包括具有从3°至5°倾斜角的圆锥形表面。
本实用新型的原子层沉积室还进一步包括在中心覆盖和吊线板附近的流体管道,提供该流体管道用于穿过其流动传热流体,和流体管道包括以下特征中的至少一个:
(i)包括被机械加工成进入吊线板的通道的流体管道;
(ii)流体管道是矩形的。
上述中心覆盖或吊线板中的至少一个由陶瓷材料构成。
本实用新型提供了另一种原子层沉积室,其包括:
(a)在处理区域周围的侧壁;
(b)基板支架,其能够在处理区域中接收基板;
(c)环绕处理区域的室衬套,该室衬套包括:(i)第一环形带,其具有第一直径和通过第一环形带延伸的第一狭槽;
(ii)第二环形带,其具有尺寸大于第一环形带直径的第二直径,且具有与第一环形带的第一狭槽对准的第二狭槽;和(iii)径向凸边,其结合了第一和第二环形带;
(d)气体分配器,用于将处理气体引入到处理区域中;和
(e)排气装置,用于排出处理气体。
上述原子层沉积室中,所述室衬套的第二环形带的第一和第二狭槽包括具有以下特征中至少一个的矩形:
(i)圆形拐角;
(ii)从12至18英寸的长度;和
(iii)从0.75至3英寸的高度。
上述原子层沉积室中,所述室衬套的第一和第二环形带包括以下特征中的至少一个:
(i)环形带包括底边缘,且其中径向凸边结合底边缘;(ii)环形带包括中间部分,且其中室衬套还包括结合了中间部分的径向凸缘;和
(iii)第一环形带包括第一高度和第二环形带包括大于第一高度的第二高度。
上述原子层沉积室中,所述的室衬套由铝构成。
根据本实用新型的具有一个或多个改进的部件,例如气体分配器、排气屏蔽、衬套以及其它的原子层沉积室可以提供穿过该衬底的更好的气体、温度和压力均匀性,使得能够更快速地从腔室净化处理和残留气体,并为处理的基板表面提供更加均匀的处理气体传送以及去除。
附图说明
下述说明部分、权利要求书以及所附的附图用于说明具有不同特征的本实用新型的示范性实施方式,但是,本实用新型的范围并不仅限于附图中示出的这些示范性方案:
图1是热ALD室实施例的示意性截面侧视图;
图2A和2B是图1ALD室室盖吊线板的截面顶视图和顶视平面图,示出了具有矩形形状的传热流体管道(conduit);
图3是在图1的ALD室中使用的室衬套(chamber liner)的透视图;
图4是图1的ALD室排气屏蔽组件的剖面透视图;
图5是PEALD室实施例的示意性截面侧视图;
图6A是图5的PEALD室的室盖的示意性底视图,该室盖包括具有扇形插入件(fan-type insert)的气体分配器;
图6B是图6A的扇形插入物的截面透视图;
图7A是图5的PEALD室的室衬套的透视图;
图7B是图7A的室衬套的截面图;和
图8是图5的PEALD室的等离子体屏(plasma screen)的透视图。
具体实施方式
在图1中示出了包括原子层沉积(ALD)室22的基板处理装置20的实施例。室22适合于热ALD处理,用于在位于基板支架26上的基板24上沉积原子层。在热ALD处理中,被吸收到基板24上的处理气体分子被加热到足够高的温度以在基板24上形成原子层。适合的热ALD温度例如是从约120℃到约450℃。室22适合于处理基板24诸如半导体晶片,但是室22也能用于处理其它基板24,诸如平板显示器、聚合物板或其他电路接收机构,如对于本领域普通技术人员显而易见的那些。装置20还可贴装到平台(未示出)上,该平台提供电、波导设备以及用于室22的其他支持功能,且其还可以是多室平台系统的一部分,诸如可从应用材料公司(Santa Clara,加利福尼亚)获得的DaVinci或Endura II平台。
通常,室22被顶板(ceiling)28、侧壁30和底壁32密封。基板支架26穿过底壁32延伸以在基板接收表面33上支撑基板24。基板支架26与侧壁30一起限定了处理区域34,该处理区域中,提供处理气体以处理基板24。操作中,通过气源36将处理气体引入到室22中,该气源36包括处理气源38和气体分配器40。气体分配器40可包括其中具有气源阀门44的一个或多个管道42以提供气体,和气体出口6646,以将处理气体释放到室22的处理区域34。对于ALD处理,处理气源38可用于提供不同处理气体,其每一种都可含有单种气体或气体混合物,载气和被传送的分子,或也可以是载气的净化气体。用尽的处理气体和处理副产品从室22中通过排气系统50排出,该排气系统50包括从处理区域34接收用尽的处理气体和将气体传送到排气管道54的排气端口52,和节流阀以及排气泵(未示出),从而控制室22中的处理气体压力。
气体分配器40包括具有一个或多个气体入口64a、b、气体出口66以及在气体入口64和气体出口66之间的气体通路70的中心覆盖60。气体入口64a、b在水平面上相互偏移且被定位在气体通路70周围附近。偏移的气体入口64a、b提供与气体通路70共同协作的单独气流以实现自入口64a、b向出口66的螺旋气流。在一个方案中,可通过以至少约45度、例如约180度的夹角设置气体入口64a、b而偏移。覆盖60中气体通路70的顶部74是圆柱形的。气体通路70的底部76包括圆锥形通路78,在向下的气流方向上其逐渐向外打开,圆锥形通路78内径的半径从在上部区域80处的第一直径增加到覆盖60出口66附近的下部区域82处较大的第二直径。在一个方案中,第一直径小于约2.6cm和第二直径至少约3cm。例如,第一直径可从约0.2cm至约2.6cm,且第二直径可从约3cm至约7.5cm。该圆锥形通路78也可具有相对于垂直轴以一角度倾斜的表面,该角度从约5°至约30°或更通常地为约11°。
当处理气体通过偏移气体入口64a、b被注入到覆盖60中时,同时注入的气流通过圆锥形通路78以涡旋运动在垂直轴86附近旋转从而产生从入口64a、b至出口66向下前进的螺旋气流。有利地,螺旋气体的角动量导致气体清除圆锥形通路78的表面。而且,圆锥形通路78从第一直径到第二直径的直径不断增加产生了气体增加的体积,这导致气体涡流宽度的相应增加以及气压和温度的逐渐降低,由于其抑制了前体气体的压缩并降低了气体到基板24上的垂直速度,因此这两者都是希望的。而且,处理气体在圆锥形通路78垂直轴86附近的旋转能量和角动量随着处理气体沿着通路向下降而降低。圆锥形通路78是钟形的以允许处理气体涡流在其进入到室22时散开且由此直接在基板24上方提供较佳的处理气体分布。
中心覆盖60位于定形的吊线板90上,在一个方案中该吊线板90是漏斗状的。定形的吊线板90用作室盖,且具有互联的第一和第二圆锥形孔92、94。第一圆锥形孔92从气体出口66接收处理气体且具有第一直径,和第二圆锥形孔94释放处理气体并具有大于第一直径的第二直径。每一个圆锥形孔92、94都以连续增加的直径向外逐渐呈锥形。在一个方案中,吊线板覆盖(ceilingplate cap)90包括铝诸如铝合金。
定形的吊线板90中的第一圆锥形孔92连接到中心覆盖60的出口66且在吊线板90和中心覆盖60之间的接口表面98处具有较狭窄的第一直径,其逐渐增加到在段结点96处的较大直径,该段结点96结合到第二圆锥形孔94。在一个方案中,第一圆锥形孔92逐渐锥形的表面包括相对于垂直轴具有从约50°至约30°的倾斜角的圆锥形表面。段结点96包括圆形边缘且提供第一和第二圆锥形孔92和94的斜率之间的逐渐过渡。第二圆锥形孔94以从段结点96处的第一直径到超出基板支架26外径100的较大第二直径的增加的直径向外径向延伸。第二圆锥形孔94的表面具有相对于垂直轴具有从约1°到约15°的倾斜角的圆锥形表面。
定形吊线板90也具有从气体分配器40向外径向延伸且超出基板支架26的外径100的外围凸缘104。外围凸缘(ledge)104的下表面106基本上是水平的以允许外围凸缘104位于室22的侧壁30附近从而将吊线板90支撑在处理区域34上方。外围凸缘104具有步进式的向下的高度,中间台阶108从第二圆锥形孔94到外围凸缘104平滑地向向上弯曲。
定形圆锥形通路78通过中心覆盖60、以及吊线板90的第一和第二圆锥形孔92、94,其也允许处理气体或净化气体以最小流动阻力通过且横跨基板24表面提供良好的分布。圆锥形通路78直径随着气体下降到室22中增加。螺旋下降的处理气体涡流状宽度增加以提供高速气流。处理气体在圆锥形通路78的垂直轴86附近的旋转能量和角动量随着处理气体沿着通路下降而降低。在吊线板90内部的那部分气体通路具有在吊线板90顶部和底部之间增加的直径。由此,通过覆盖60和吊线板90的整个气体通路都是钟状的以允许当处理气体涡流进入到室22中时散开,由此将处理气体均匀地分布到室22的处理区域34中直接分布到基板24上方。
气体分配器40也可包括温度调节系统110,其包括加热或冷却元件和温度传感器。顶部安装的气体分配器40在处理区的区域中占据了大量的表面面积。由此,希望控制气体分配器40的温度以控制其对基板24附近的处理气体的影响。如果气体分配器40例如过热,则处理气体会在其表面处反应,从而在这些表面上而不是基板24上沉积材料。替换地,气体分配器40的过渡冷却会导致在处理气体到达基板24时其温度过冷。由此,希望控制气体分配器40的温度从而保持提供至基板24的处理气体的最佳传送的温度。
在一个方案中,温度调节系统110包括传热流体管道112,其接触气体分配器40,例如接触覆盖60,吊线板90,或两者都接触。温度调节系统110可包括用于通过其传送传热流体的流体管道116,以移走或增加热量至处理气体。在一个方案中,流体管道116包括穿过吊线板90加工的沟道,如图2A中所示。这允许流体管道116在处理气体通过气体通路70时也控制处理气体的温度,该气体通路70穿过中心覆盖60和吊线板90延伸。例如,当由于因为圆锥形通路78和第一圆锥形孔92的不同体积导致的气体膨胀致使通过该区域的处理气体温度快速变化时,气体温度的改变可通过将保持在希望温度差的传热流体通过流体管道116来调节。传热流体与穿过气体分配器40的处理气体热交换以调节其温度。使用室22外部的常规热交换系统(未示出)调节传热流体的温度,例如包括连接包括传热流体诸如去离子水的流体贮存器至流体管道116且包括加热或制冷系统以加热或冷却流体管道116中的流体的泵。
被传送到室22中的处理气体通过室衬套120被包含在基板24的处理区域附近,该室衬套120至少部分地覆盖室22的侧壁30以环绕处理区域34。室衬套120用于屏蔽室22的壁不受处理气体的影响以及也将处理气体限制在基板24上方的区域。室衬套120通常被定形为至少部分与室侧壁30共形。室衬套120也具有气体开口124,以允许处理气体穿过其从处理区域34流向排气端口52。室衬套120可由金属制成诸如铝或陶瓷。
适合于室22的室衬套120包括具有第一直径的第一环形带126和具有第二直径的第二环形带128,如图2A中所示。第二环形带128尺寸大于第一环形带126的直径。例如,第二环形带128的第二直径比第一环形带126的第一直径至少大约2cm。第一环形带126也包括第一高度和第二环形带128包括大于第一高度的第二高度,例如,第二环形带128具有比第一环形带126的第一高度至少大2cm的第二高度。在一个方案中,第一环形带126具有从约12英寸至约15英寸的第一直径和从约1.5英寸到约2.5英寸的第一高度;和第二环形带128具有从约15英寸到约18英寸的第二直径和从约2.5英寸到约4英寸的第二高度。
室衬套120的第一和第二环形带126、128在其底部边缘132a、b处通过形状为圆形的径向凸边(flange)130在结构上结合到一起。径向凸边130用于在径向方向上以一定距离间隙保持第一和第二环形带126、128。径向凸边130的尺寸可提供至少约38mm,例如从约25至约50mm的径向间隙。径向凸缘136还结合了第二环形带128的中间部分138至室衬套120的第一环形带126的顶部边缘140。径向凸缘136为室衬套120提供了附加的结构完整性(structure integrity)。径向凸缘136例如横跨室衬套120内部圆周的一部分延伸,从而覆盖内部圆周的从约0至约180°。结果,横跨内部圆周的剩余部分提供开口间隙区域从而提供较容易的气流和通过室衬套120的处理气体通路。
室衬套120还具有第一嵌入开口139,其允许处理气体从处理区域34通过第一和第二环形带126、128流向排气端口52。第一开口139通过对准通过第一环形带126延伸的第一狭槽140a和穿过第二环形带128的第二狭槽140b形成,该第二狭槽140b与第一环形带126的第一狭槽140a对准。对准的狭槽140a、b被平坦的顶壁142和底壁144包围以形成嵌入的第一开口139。在一个方案中,第一和第二狭槽140a、b包括具有圆形拐角的矩形。例如,该矩形每一个都具有从约12至18英寸的长度和从约0.75至3英寸的高度。对准的狭槽140a、b允许穿过室衬套120的处理气体种类通路,具有降低了的对狭槽140a、b的拐角和边缘的侵蚀。室衬套120在对排气端口52开放的第一环形带126中还具有附加的第二开口149。第一和第二开口139、149都利于穿过室衬套120的气体通路。在一个方案中,第一开口139允许例如通过机械手向和自室22传送基板24的穿过室衬套120的基板24的通路。
室22还具有排气端口52,在处理气体通过基板表面上方之后其接收自处理区域34的用尽的处理气体以将处理气体从室22排出并将气体传送至排气管道54。排气端口52提供在中空的排气块(exhaust block)152中,该排气块152形成了室的部分侧壁30。中空的排气块152包括在内壁155上的矩形的入口端154、在外壁157上的圆形的出口端156以及在其间的矩形沟道158,如图4中所示。中空的排气块152暴露到热的反应处理气体种类气体中,这导致在其内壁上的处理残余材料的沉积。由于随着时间的过去,这些沉积物从内表面的剥离会导致基板污染,因此这种处理残余物沉积的聚集是不希望的。至排气表面上的这种处理气体沉积物的聚集可通过清洗排气块152的内表面来整理,但是由于排气块通常都是室22的主要部分,因此这需要拆分室22,这很浪费时间且会导致过多的室停工时间。当由于已经聚集在排气块152的内部表面上的沉积物能够与新的气体种类以不希望的方式反应,而导致用在室22中的处理气体的合成发生改变或者其他情况时,也会出现问题。
由此,在室22的排气端口52附近和排气块152中提供排气屏蔽组件160以保护和提供可容易地替换和移除的表面。诸如图4中示出的,排气屏蔽组件160的示范性实施例包括一起协作以提供通过该区域的良好的处理气流同时仍允许快速去除和拆卸排气屏蔽组件160的部件结构组件,用于清洗或更换部件结构。当在该排气屏蔽组件160表面上形成过多的沉积物时,其可被容易地去除和清洗或者替换。而且,用在几组的处理循环中、或者在处理气体合成中发生变化之后,可去除的排气屏蔽组件160被丢弃或者用新的排气屏蔽组件替换,以提供可消耗的排气衬套系统(exhaust lining system)。在从室22去除之后,排气屏蔽组件160也可通过用溶剂冲洗来清洁和再利用。
在一个方案中,排气屏蔽组件160包括内部屏蔽162、穴式屏蔽(pocketshield)164以及外部屏蔽166和盖式屏蔽210。内壁屏蔽162包括具有通过基本相互平行且通过弓形末端178a、b连接的上部和下部平坦壁174、176限定的周边170的闭合矩形带168。在一个方案中,平坦壁174、176分开至少约4cm。矩形带168的截面剖面形状像是具有圆形拐角的矩形。但是,带168的弓形末端178a、b也可以是圆柱形的、多半径曲线的或者甚至基本平坦的。内部屏蔽162被设置在室22中中空排气块152的内壁180上或者闭合矩形带168尺寸适合套在中空排气块152中的矩形入口端154上。
内部屏蔽162还包括垂直延伸出矩形带168周边的平坦框架172。平坦框架172被设置在内部屏蔽162的外端190上。平坦框架172被设置成与穴式屏蔽164中匹配的圆角矩形孔对齐,在一个方案中,平坦框架172向外延伸出带周边约3至约14cm。平坦框架172能够被焊接或硬焊至矩形带168的周边170且其通常由相同材料、即铝片制成。
穴式屏蔽164包括具有顶端196和底端198的管状外壳194。管状外壳194具有密封了矩形中空套管的相对的第一和第二表面200、202。第一平坦表面200具有与内部屏蔽162的矩形带168匹配的内部矩形切块206,以使得处理气体能够流过该通路。第二平坦表面202具有匹配到外部屏蔽166上的外部圆形切块208。盖板210覆盖并封闭管状外壳194的顶端196。穴式屏蔽164的底端198具有适合于装配在排气块152中的阱212。在一个方案中,阱212是椭圆形的。穴式屏蔽164尺寸与中空排气块152的矩形沟道158内部相匹配。
外部屏蔽166包括相互结合的第一和第二圆柱体212、214。在所示出的方案中,第一圆柱体212尺寸大于第二圆柱体214。由于外部屏蔽166被设置成与中空排气块152的外壁157对齐,因此第一和第二圆柱体212、214通过室的几何形状确定。外部屏蔽166的第二圆柱体214尺寸与中空排气块152的圆形出口端158匹配。在一个方案中,外部屏蔽166具有从约5.5英寸至约7英寸的高度,和从约5.5英寸至约8英寸的宽度,以及从约1.4英寸至约4英寸的深度。平坦构件216贴装到第二圆柱体214并垂直延伸出第二圆柱体。在一个方案中,平坦构件216延伸出第二圆柱体214的边缘约0.5至约1.5英寸。
在一个方案中,内部屏蔽162、穴式屏蔽164、外部屏蔽166和盖板210全部由金属制成,诸如铝、不锈钢或钛。在一个方案中,排气屏蔽组件160被冲压且压成具有约0.06英寸厚度的铝片。此外,屏蔽部件的表面可包括喷砂的表面(bead-blasted surface)用于更好地粘附处理残余物。在一个方案中,表面具有约40至约150微英寸甚至为约54微英寸的表面粗糙度。表面粗糙度也可通过使用具有从约40至约125微米直径颗粒的浆液的湿砂处理或者使用包括120至400粗砂的砂纸的干砂处理获得。
当排气屏蔽组件160被安装在中空的排气块152中时,屏蔽组件160的部件相互紧密地配合且接触。内部屏蔽162与穴式屏蔽164接触,且内部屏蔽162的平坦框架172与穴式屏蔽164的狭槽对准。外部屏蔽166的表面与穴式屏蔽164的第一平坦表面接触且盖板210覆盖穴式屏蔽164。排气屏蔽的屏蔽部件相互不必形成气密性密封,但是部件应当相互良好接触以减少处理气体从排气块152的泄漏。
等离子体ALD室
基板处理装置20的另一个实施例包括适合于等离子体ALD处理的ALD室22a,如图5中所示。室22a具有用于提供等离子体ALD良好温度特性的盖29且可具有用于冷却或加热室盖29a的热交换元件,诸如图5中示出的水冷吊线板31。装置20还可包括远程或原位气体激励元件,诸如远程气体激励器(模式#ASTRO,可从MKS仪器公司,Wilmington,Massachusetts获得)、或电气连接器、电源和安装在用于原位等离子体产生的室中或附近的电极。在一些室中,室盖29的金属元素用作处理电极。而且,可将一个或多个绝缘环35提供在室壁和顶板之间以提供室部件之间的热和电绝缘。处理气源38a或者处理气源38a的部件可安装在室盖29上,且可包括风动阀门、处理气源36a或各种管子以及沟道,用于在处理期间将受控制等级的处理和净化气体传送到处理室22a中。
在图5中示出的室中,气体分配器40a包括中心覆盖60a,顶板插入物37和装配到室盖29底表面中的喷头220。中心覆盖60a具有一个或多个气体入口65a、b、气体出口66a和在气体入口65和气体出口66a之间的气体通路70a。气体入口65a、b在水平平面上相互偏移且被设置在气体通路70a周边附近。偏移气体入口65a、b提供单独的气流,其在气体通路70a中共同协作以实现从入口65a、b到出口66a的螺旋气流。在一个方案中,气体入口65a、b能够通过以至少约60度例如约180度的夹角进行设置而偏移。在覆盖60a中的气体通路70a是圆柱形的且贯穿其长度具有基本相同的直径。
覆盖60位于通过其具有用于处理气体通路的圆锥形通路43的顶板插入物37上。顶板插入物37包括陶瓷或石英且用于电和热绝缘处理气体和室盖29的其它部件。顶板插入物37的入口39从中心覆盖60a的出口66a接收处理气体。圆锥形通路43具有在下游方向上向外打开的下部部分45,以使通路43的直径跨过顶板插入物37的下四分之一增加。通路43在具有约两倍于入口39直径的直径的出口41中终止。通路43的这个突然开口允许用于等离子体屏192的较大接收表面。
当处理气体通过偏移气体入口65a、b被注入到覆盖60a中时,同时注入的气体流通过通路70a以涡旋运动在垂直轴86a附近旋转,以产生从入口65a、b向顶板插入物37的出口41向下前进的螺旋气流。有利的是,螺旋流混合了气体并且导致在出口41处更均质的气体混合物。
处理气体的涡流从顶板插入物37的出口41盘旋到等离子体屏192。等离子体屏192包括具有等距离间隔且横跨等离子体屏192分布的多个孔224的环形板222,从而掩蔽沟道中心不直接受到等离子体通路影响。在一个方案中,等离子体屏192的中心区域232不具有穿过其的孔,其防止直接观看到RF电极。等离子体屏192中孔224的数量可从约50至约400,且在一个方案中,从约150至约170。在一个方案中,孔224具有从约0.1cm至约0.3cm的直径。等离子体屏192还可包括定形外围盖238和在屏220孔区附近的升高的圆形带242,如图8中所示。外围盖238和圆形带242可被定形以与顶板插入物37形成密封。在一个方案中,等离子体屏192包括陶瓷。等离子体屏192是环形形状的且具有从约0.15英寸至约1英寸的厚度。
等离子体屏192将处理气体传送到喷头220气体分配器。喷头220包括具有相互以一定距离间隔且横跨喷头220分布的多个孔228的板226,以横跨基板表面均匀分布处理气体。喷头220中孔228的数量可从约100至约10000,且在一个方案中,从约500至约2500。在一个方案中,孔228具有从约0.01至约0.1英寸的直径。在一个实施例中,孔228被定形且直径尺寸在板226上表面和下表面之间降低。这提供了板226中回流的降低。在一个方案中,喷头220包括金属诸如铝、钢或不锈钢。喷头220是环形形状的且厚度从约0.3至约2.5英寸。
喷头220包括位于在室侧壁30a上方的绝缘体113上的外围区230和中心区234,孔236钻过喷头220的中心以接收气体分配器插入物240。气体分配器插入物240包括直径尺寸足够大以装配到喷头220中的环形板。环形板具有中心区域和外围区域。插入物240的中心区域包括具有平坦环形顶表面248和从平坦环形表面248至主体区表面向外向下延伸的侧壁250的突起244。在一个方案中,插入物240的平坦环形表面248接触等离子体屏192的中心区域。在一个方案中,气体分配器插入物240的环形板包括金属诸如铝。气体分配器插入物240可通过从大块物体加工制成。
气体分配器插入物240具有多个径向狭槽252,该狭槽252通过插入物240延伸以允许处理气体通路通过其。狭槽252相互以一定距离间隔且被设置成径向结构。例如,在一个方案中,气体分配器插入物240具有从约5至约50条狭槽252,例如约20条狭槽252。在一个方案中,每一个狭槽252都具有从约0.4至约1.2英寸的长度和从约0.01至约0.05英寸的宽度。每一个狭槽252都在插入物240的环形板中取向以具有预定的径向或切向角度。狭槽252经由该板成一角度且具有相同节距。狭槽252以保持处理气体通过气体分配器插入物240涡旋流动的方式设置。选择狭槽252的节距以最优化通过狭槽252的涡流,且该节距在约20和约70度之间,和更通常为约45度。径向倾斜狭槽252在基板24上方分布处理气体以提供吸收到基板24处理表面的均匀厚度的气体分子。
在一个实施例中,气体分配器插入物240具有多个圆柱形沟道246,其穿过插入物240在插入物240中心附近延伸以允许处理气体通路通过其。沟道246可包括5和20条之间的沟道且在一个方案中其包括12条沟道。沟道246从突起244基部附近开始并终止于插入物240的底部。圆柱形沟道246被设置成关于突起244底部圆形对称的结构且向内倾斜以使沟道在突起244下方的位置处终止。在一个实施例中,沟道246与垂直轴成30和60度之间的角度。倾斜沟道246将处理气体传送到基板表面的中心区域并在基板上提供均匀的沉积。圆柱形沟道246的直径从约0.01至约0.1英寸且在一个方案中沟道246上端的直径大于沟道246下端直径。这提供了沟道246中回流的降低。
在该实施例中,通过将能量耦合到室22a的处理区34a中的处理气体的气体激励器来激励引入到室22中的处理气体。例如,气体激励器可包括电偏置以激励处理气体的处理电极;包括关于室22a中心具有圆形对称结构的感应线圈的天线;或者微波源和波导,以通过在室22a上游的远程区域的微波能量激励处理气体。
于图7A中示出了适合于用在等离子体ALD室22a中的室衬套120a。室衬套120a的该方案也覆盖了室22a的侧壁30a以环绕处理区域34a并屏蔽室22a的壁不受处理气体的影响。室衬套120a部分由陶瓷材料诸如氧化铝(Al2O3)或氮化铝(AlN)制成,且部分由金属诸如铝或不锈钢制成。室衬套120a包括具有第一直径的第一环形带126a和具有大于第一环形带126a的直径的第二直径的第二环形带128a,如图7A中所示。例如,第二环形带128a的第二直径比第一环形带126a的第一直径至少大约1cm。第一环形带126a还包括第一高度和第二环形带128a包括比第一环形带126a的第一高度至少大0.5cm的第二高度。室衬套120a的第一和第二环形带126a、128a通过形状为环形的径向凸边130a在其底部边缘134a、b结合,和径向凸缘136a还结合了第二环形带128a的中间部分138a至室衬套120a的第一环形带126a的顶边缘140a。
室衬套120a还具有第一闭合开口139a,其允许处理气体通过第一和第二环形带126a、128a从处理区域34a向排气端口52a流动。通过对准穿过其延伸第一环形带126a的第一狭槽146a和通过第二环形带128a的第二狭槽146b形成第一开口139a,该第二狭槽146b与第一环形带126a的第一狭槽146a对准。对准的狭槽146a、b被平坦顶壁142a和底壁144a包围以形成闭合的第一开口139a。在一个方案中,第一和第二狭槽146a、b包括具有圆形拐角的矩形。例如,矩形每一个都具有从约12至18英寸的长度和从约0.75至3英寸的高度。室衬套120a还具有在对排气端口52a开放的第一环形带126a中的第二开口149a。第二开口149a包括具有圆形拐角的矩形,且其具有从约5至9英寸的长度和从约0.75至3英寸的高度。第一和第二开口139a、149a利于气体通过室衬套120a的通路。
室衬套120a另外包括异型(profiled)内部屏蔽环125和上部屏蔽环145。参考图7A和图7B,内部屏蔽环125具有尺寸可环绕基板支架26的直径,该支架26面对ALD室22a中的气体分配器40a。内部屏蔽环125用作用于处理区域34a中气体的局部物理阻挡。内部屏蔽环125包括具有上部、向外延伸的支持盖127的带。内部屏蔽环125的支撑盖127位于室衬套120a第一环形带126a的顶边缘146a上。
带的上表面129是波状外形的,以使得外围区域高于径向内部区域。上表面129包括向内倾斜部分131、中间水平部分133和外部突起部分135。为了最小化紊流,上表面129的这些区域通过平滑拐角连接。突起部分133位于向外延伸的盖127上方且具有高于基板支架组件外围高度约0.01至约0.5英寸的高度。突起部分133用作阻挡层,以阻止所激励的处理气体从处理区域38a向外径向流动。
内部屏蔽环125的径向内部区从第一环形带126a向内延伸约0.2至约0.7英寸且限定在基板支架26和室衬套120a之间的间隙137的一侧。内部屏蔽环边缘和基板支架组件边缘包围在间隙137周围以降低室净化步骤期间处理气体的紊流。紊流的降低提供了流动阻抗的降低,且允许更有效的净化步骤。
上部屏蔽环145位于第二带128a的上表面上。上屏蔽环145屏蔽了室侧壁30a的上部和顶板组件外围部分不受处理区域34a的活性气体的影响,以降低处理气体沉积在室主体上和蚀刻室主体。上部屏蔽环145包括被向内延伸的凸缘143覆盖的外部圆柱形带141。凸缘143从带141向内径向延伸约0.25至约1英寸。上部屏蔽环145包括陶瓷且具有从约0.25至约1英寸的厚度。
ALD室22、22a和其在此描述的部件明显提高了沉积在基板24上的原子层的厚度和合成均匀度。例如,气体分配器40结构提供了快速流动的气体分子涡流,其能快速通过基板24表面上方,以提供基板24表面上更好和更均匀的气体吸收。而且,气体涡流防止在室22中形成气体分子停滞区。而且,当在基板24表面处反应气体压力均匀时原子层沉积更加均匀。目前的气体分配器40横跨基板24表面提供了较好的气体压力,从而横跨基板24提供了更加均匀的所沉积ALD层厚度。
室衬套120和排气屏蔽组件160部件通过允许从室22快速排出气体种类还有助于ALD处理。这允许新的气体分子贴附到基板24表面。快速排出气体种类能够使ALD室22在处理气体步骤之间有效地净化。而且,当处理气体包括具有较高的衰变率的有机分子或反应气体时,引入处理气体之间的时间以及因此有效净化室22所需的时间是重要的工艺参数。而且,由于室衬套120和排气屏蔽部件容易被拆卸和从室22移走,其降低了室22否者将需要清洗或替换这些部件的停机时间。
本实用新型所提供的原子层沉积室实现了如下的效果,即由于本实用新型的原子沉积室在气体分配器等部件上的改进,使其能够提供良好的气体、温度和压力均匀性同时还允许快速净化处理气体的ALD室的各个部件,并可以为处理的基板表面提供更加均匀的处理气体传送以及去除。
已经参考其某些优选方案描述了本实用新型,但是其它方案也是可以的。例如,排气衬套或其部件和室衬套120、120a可用在其它类型的应用中,如对本领域普通技术人员来讲显而易见的,诸如蚀刻、CVD和PVD室。而且,各部件凸边的形状可以是不同的,以与不同室凸边和支撑壁相接。而且,各部件成分材料对于不同应用可以是不同的,诸如对于等离子体激励或混合蚀刻工艺中的应用是复合陶瓷或甚至是全陶瓷材料。因此,所附的权利要求的精神和范围不限于在此包含的优选方案的描述。

Claims (30)

1.一种气体分配器,用于原子层沉积室,该气体分配器包括:
(a)中心覆盖,其包括至少一个气体入口、气体出口和在气体入口和气体出口之间的圆锥形通路;和
(b)吊线板,其包括从中心覆盖的气体出口接收处理气体的第一圆锥形孔,从第一圆锥形孔向外径向延伸的第二圆锥形孔,以及位于室侧壁上的外围凸缘。
2.如权利要求1的气体分配器,其特征在于,所述中心覆盖的圆锥形通路包括以下特征中的至少一个:
(i)第一和第二直径,第一直径小于2.6cm和第二直径至少为3cm;和
(ii)圆锥形表面,其与垂直轴成20°至25°角倾斜。
3.如权利要求2的气体分配器,其特征在于,所述第(i)项特征中第一直径为0.2至2.6cm和第二直径为3至7.5cm。
4.如权利要求1的气体分配器,其特征在于,所述中心覆盖包括相互偏移的多个气体入口:
(i)沿着水平平面以一定间距隔开;和
(ii)被设置成至少45度的夹角。
5.如权利要求1的气体分配器,其特征在于,所述吊线板的第一或第二圆锥形孔包括以下特征中的至少一个:
(i)所述孔包括具有不同倾斜角的圆锥形表面;
(ii)所述第一圆锥形孔包括具有20°至25°倾斜角的圆锥形表面;
(iii)所述第二圆锥形孔包括具有3°至5°倾斜角的圆锥形表面。
6.如权利要求1的气体分配器,其特征在于,还进一步包括在中心覆盖和吊线板附近的流体管道,提供该流体管道用于在其中通过传热流体,且该流体管道包括以下特征中的至少一个:
(i)被机械加工成进入吊线板的通道;和
(ii)呈矩形形状。
7.如权利要求1的气体分配器,其特征在于,所述中心覆盖或吊线板中的至少一个由陶瓷材料构成。
8.一种用于原子层沉积室的室衬套,该室衬套包括:
(a)第一环形带,其具有第一直径和通过第一环形带延伸的第一狭槽;
(b)第二环形带,其具有尺寸大于第一环形带直径的第二直径,且具有与第一环形带的第一狭槽对准的第二狭槽;和
(c)径向凸边,其结合了第一和第二环形带。
9.如权利要求8的室衬套,其特征在于,所述第一和第二狭槽包括具有以下特征中至少一种的矩形:
(i)圆形拐角;
(ii)从12至18英寸的长度;和
(iii)从0.75至3英寸的高度。
10.如权利要求8的室衬套,其特征在于,所述第一和第二环形带包括以下特征中的至少一种:
(i)环形带包括底边缘,且其中径向凸边结合底边缘;
(ii)环形带包括中间部分,且其中室衬套还包括结合中间部分的径向凸缘;和
(iii)第一环形带包括第一高度和第二环形带包括大于第一高度的第二高度。
11.如权利要求8的室衬套,其特征在于,所述室衬套由铝构成。
12.一种排气屏蔽组件,用于原子层沉积室,该组件包括:
(a)内部屏蔽,其包括具有周边的闭合矩形带,和垂直延伸出矩形带周边的平坦框架;
(b)穴式屏蔽,其包括(i)具有顶端的管状外壳,与内部屏蔽的矩形带匹配的内部矩形切块,以及外部圆形切块,和(ii)覆盖管状外壳顶端的盖;和
(c)外部屏蔽,包括(i)相互结合的第一和第二圆柱体,第一圆柱体尺寸大于第二圆柱体,和(ii)贴装到第二圆柱体且垂直延伸出第二圆柱体的平坦部件。
13.如权利要求12的组件,其特征在于,基板处理室包括中空排气块,该排气块具有内壁和外壁以及圆形出口端,且其中该组件包括以下特征中的至少一个:
(i)穴式屏蔽尺寸与中空排气块内部匹配;
(ii)内部屏蔽适合于设置在中空排气块内壁上且闭合矩形带尺寸可套在中空排气块矩形入口端上方;和
(iii)外部屏蔽适合于设置在中空排气块外壁上和外部屏蔽的第二圆柱体尺寸与中空排气块的圆形出口端匹配。
14.如权利要求12的组件,其特征在于,所述内部屏蔽、穴式屏蔽和外部屏蔽均由铝构成。
15.如权利要求12的组件,其特征在于,所述内部屏蔽、穴式屏蔽和外部屏蔽中的至少一个包括喷砂的表面,该表面具有50至62微英寸的表面粗糙度。
16.一种用于基板处理室的盖组件,该盖组件包括:
(a)具有底表面的室盖;
(b)喷头,其匹配在室盖的底表面中,该喷头包括中心孔;和
(c)气体分配器插入件,匹配到喷头的中心孔中,该插入件具有相互以一定间隔隔开的多个径向狭槽。
17.如权利要求16的盖组件,其特征在于,所述喷头具有500至2500个孔。
18.如权利要求16的盖组件,其特征在于,所述插入件由铝构成。
19.如权利要求16的盖组件,其特征在于,所述插入件包括径向狭槽,该径向狭槽具有以下特征中的至少一个:
(i)从5至50的径向狭槽数目;
(ii)从0.01至0.05英寸的宽度;
(iii)从0.4至1.2英寸的长度;和
(iv)每个径向狭槽都倾斜至少30°。
20.一种原子层沉积室,包括:
(a)围绕底壁的侧壁;
(b)通过底壁延伸的基板支架;
(c)气体分配器,该气体分配器包括:
(i)中心覆盖,该中心覆盖包括至少一个气体入口、气体出口以及在气体入口和气体出口之间的圆锥形通路;和(ii)吊线板,该吊线板包括从中心覆盖的气体出口接收处理气体的第一圆锥形孔,从第一圆锥形孔向外径向延伸的第二圆锥形孔以及位于室侧壁上的外围凸缘;和
(d)排气端口,用于从处理区域排出处理气体。
21.如权利要求20的原子层沉积室,其特征在于,所述气体分配器的中心覆盖的圆锥形通路包括以下特征中的至少一种:
(i)第一和第二直径,且其中第一直径小于2.6cm和第二直径至少为3cm;和
(ii)圆锥形表面,其从垂直方向以20°至25°角度倾斜。
22.如权利要求21的原子层沉积室,其特征在于,所述第(i)项特征中第一直径为0.2至2.6cm和第二直径为3至7.5cm。
23.如权利要求21的原子层沉积室,其特征在于,所述气体分配器的中心覆盖包括多个气体入口,且具有以下特征中至少一个;
(i)气体出口相互偏移;
(ii)气体入口通过沿着水平平面以一定距离间隔相互偏移;和
(iii)气体入口通过被设置成至少45度夹角相互偏移。
24.如权利要求21的原子层沉积室,其特征在于,吊线板的第一和第二圆锥形孔包括以下特征中的至少一个:
(i)第一和第二圆锥形孔包括具有不同倾斜角的圆锥形表面;
(ii)第一圆锥形孔包括具有从20°至25°倾斜角的圆锥形表面;和
(iii)第二圆锥形孔包括具有从3°至5°倾斜角的圆锥形表面。
25.如权利要求21的原子层沉积室,其特征在于,还进一步包括在中心覆盖和吊线板附近的流体管道,提供该流体管道用于穿过其流动传热流体,和流体管道包括以下特征中的至少一个:
(i)包括被机械加工成进入吊线板的通道的流体管道;
(ii)流体管道是矩形的。
26.如权利要求21的原子层沉积室,其特征在于,所述中心覆盖或吊线板中的至少一个由陶瓷材料构成。
27.一种原子层沉积室,其包括:
(a)在处理区域周围的侧壁;
(b)基板支架,其能够在处理区域中接收基板;
(c)环绕处理区域的室衬套,该室衬套包括:
(i)第一环形带,其具有第一直径和通过第一环形带延伸的第一狭槽;
(ii)第二环形带,其具有尺寸大于第一环形带直径的第二直径,且具有与第一环形带的第一狭槽对准的第二狭槽;和
(iii)径向凸边,其结合了第一和第二环形带;
(d)气体分配器,用于将处理气体引入到处理区域中;和
(e)排气装置,用于排出处理气体。
28.如权利要求27的原子层沉积室,其特征在于,所述室衬套的第二环形带的第一和第二狭槽包括具有以下特征中至少一个的矩形:
(i)圆形拐角;
(ii)从12至18英寸的长度;和
(iii)从0.75至3英寸的高度。
29.如权利要求27的原子层沉积室,其特征在于,所述室衬套的第一和第二环形带包括以下特征中的至少一个:
(i)环形带包括底边缘,且其中径向凸边结合底边缘;
(ii)环形带包括中间部分,且其中室衬套还包括结合了中间部分的径向凸缘;和
(iii)第一环形带包括第一高度和第二环形带包括大于第一高度的第二高度。
30.如权利要求27的原子层沉积室,其特征在于,所述室衬套由铝构成。
CNU200820136183XU 2007-09-28 2008-09-28 原子层沉积室及其部件 Expired - Lifetime CN201367461Y (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/864,053 2007-09-28
US11/864,053 US20090084317A1 (en) 2007-09-28 2007-09-28 Atomic layer deposition chamber and components

Publications (1)

Publication Number Publication Date
CN201367461Y true CN201367461Y (zh) 2009-12-23

Family

ID=40506760

Family Applications (1)

Application Number Title Priority Date Filing Date
CNU200820136183XU Expired - Lifetime CN201367461Y (zh) 2007-09-28 2008-09-28 原子层沉积室及其部件

Country Status (5)

Country Link
US (1) US20090084317A1 (zh)
JP (4) JP2009111359A (zh)
KR (1) KR200469438Y1 (zh)
CN (1) CN201367461Y (zh)
TW (4) TWM372533U (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106024564A (zh) * 2015-03-26 2016-10-12 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN110211900A (zh) * 2019-05-31 2019-09-06 昆山国显光电有限公司 一种天板及干刻设备
CN113611587A (zh) * 2016-04-28 2021-11-05 应用材料公司 用于处理腔室的陶瓷涂覆的石英盖体

Families Citing this family (439)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110127156A1 (en) * 2009-11-30 2011-06-02 Applied Materials, Inc. Chamber for processing hard disk drive substrates
JP2011195863A (ja) * 2010-03-18 2011-10-06 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置及び原子層堆積方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
JP6040075B2 (ja) * 2013-03-27 2016-12-07 株式会社アルバック 真空成膜装置及び成膜方法
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10480070B2 (en) * 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6948394B2 (ja) * 2016-12-02 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜封止処理システムおよびプロセスキット
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20200140390A (ko) * 2018-05-04 2020-12-15 어플라이드 머티어리얼스, 인코포레이티드 중심-에지 압력 변화를 제어하기 위한 압력 스큐 시스템
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10697062B2 (en) * 2018-07-11 2020-06-30 Applied Materials, Inc. Gas flow guide design for uniform flow distribution and efficient purge
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11078568B2 (en) 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11993845B2 (en) 2019-03-05 2024-05-28 Applied Materials, Inc. High selectivity atomic layer deposition process
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TWI833954B (zh) * 2019-05-28 2024-03-01 美商應用材料股份有限公司 用於改善處理腔室中的流動控制的設備
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20220027973A (ko) * 2019-07-04 2022-03-08 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 아이솔레이터 장치 및 방법들
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11424096B2 (en) 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
DE102020103946A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlasseinrichtung für einen CVD-Reaktor
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TWI750836B (zh) * 2020-10-06 2021-12-21 天虹科技股份有限公司 可拆式粉末原子層沉積裝置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115572958B (zh) * 2022-09-30 2023-08-11 楚赟精工科技(上海)有限公司 一种气体输送组件及气相反应装置
CN117926224A (zh) * 2022-09-30 2024-04-26 楚赟精工科技(上海)有限公司 气体注入机构的制作方法

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3117883A (en) * 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4995958A (en) * 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
EP0406690B1 (en) * 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US4996859A (en) * 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH071675B2 (ja) * 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
EP0493089B1 (en) * 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP2938679B2 (ja) * 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
CH690805A5 (de) * 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5487822A (en) * 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US6199259B1 (en) * 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
JPH10506150A (ja) * 1994-08-01 1998-06-16 フランツ ヘーマン、 非平衡軽量合金及び製品のために選択される処理
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) * 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) * 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5614071A (en) * 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
US5879524A (en) * 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US6190513B1 (en) * 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6036587A (en) * 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) * 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
EP0946966B1 (de) * 1996-12-21 2005-05-11 Singulus Technologies AG Vorrichtung zur kathodenzerstäubung
JP3727355B2 (ja) * 1996-12-25 2005-12-14 松下電器産業株式会社 部品保持ヘッド、部品装着装置、及び部品保持方法
KR20000069523A (ko) * 1997-01-16 2000-11-25 보텀필드 레인, 에프. 기상 증착 요소 및 기상 증착 방법
KR100246858B1 (ko) * 1997-05-07 2000-03-15 윤종용 건식 식각 장치
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US5879573A (en) * 1997-08-12 1999-03-09 Vlsi Technology, Inc. Method for optimizing a gap for plasma processing
US6010583A (en) * 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6183686B1 (en) * 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000144399A (ja) * 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
JP4141560B2 (ja) * 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6500299B1 (en) * 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
US6689252B1 (en) * 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6190516B1 (en) * 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6358376B1 (en) * 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6506289B2 (en) * 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
EP1322444A4 (en) * 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
EP1312695B1 (en) * 2000-11-17 2009-07-29 Nippon Mining & Metals Co., Ltd. Sputtering target producing few particles, backing plate provided with the target, and a method of producing the target
US6887356B2 (en) * 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
WO2002086186A1 (en) * 2001-04-24 2002-10-31 Tosoh Smd, Inc. Target and method of optimizing target profile
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) * 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6849134B2 (en) * 2001-09-10 2005-02-01 Kemet Electronics Corporation Minimum volume oven for producing uniform pyrolytic oxide coatings on capacitor anodes
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
KR100956189B1 (ko) * 2001-10-26 2010-05-04 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착용 가스 전달 장치
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6676812B2 (en) * 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
US6708870B2 (en) * 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6846396B2 (en) * 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6992261B2 (en) * 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) * 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) * 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7504008B2 (en) * 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060021870A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106024564A (zh) * 2015-03-26 2016-10-12 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN106024564B (zh) * 2015-03-26 2018-03-30 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN113611587A (zh) * 2016-04-28 2021-11-05 应用材料公司 用于处理腔室的陶瓷涂覆的石英盖体
CN113611587B (zh) * 2016-04-28 2024-03-19 应用材料公司 用于处理腔室的陶瓷涂覆的石英盖体
US12009178B2 (en) 2016-04-28 2024-06-11 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
CN110211900A (zh) * 2019-05-31 2019-09-06 昆山国显光电有限公司 一种天板及干刻设备

Also Published As

Publication number Publication date
TWM376895U (en) 2010-03-21
US20090084317A1 (en) 2009-04-02
JP3181490U (ja) 2013-02-14
KR20120002359U (ko) 2012-04-04
KR200469438Y1 (ko) 2013-10-11
TWM373363U (en) 2010-02-01
JP3176540U (ja) 2012-06-28
JP3176689U (ja) 2012-06-28
TWM389934U (en) 2010-10-01
JP2009111359A (ja) 2009-05-21
TWM372533U (en) 2010-01-11

Similar Documents

Publication Publication Date Title
CN201367461Y (zh) 原子层沉积室及其部件
CN101437979B (zh) 具有扩散器板和注入器组件的批处理腔
US20200149166A1 (en) Flow control features of cvd chambers
US7988755B2 (en) Byproduct collecting apparatus of semiconductor apparatus
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
KR101911562B1 (ko) 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치
KR102493324B1 (ko) 필름 스택들의 형성을 위한 이중-채널 샤워헤드
CN104278253A (zh) 具有导通控制的化学沉积设备
US20040118519A1 (en) Blocker plate bypass design to improve clean rate at the edge of the chamber
TWI392761B (zh) 具設在平面上之前室的氣體分佈器
TWI789831B (zh) 半導體製程用反應副產物多重捕獲裝置
CN103103501A (zh) 一种材料气相外延用扇形喷头结构
US20090255470A1 (en) Ald reactor
CN109321894B (zh) 一种增强清洗效果的沉积系统及方法
KR200455917Y1 (ko) 원자층 증착 챔버 및 부품들
US20060112877A1 (en) Nozzle and plasma apparatus incorporating the nozzle
US20210130956A1 (en) High temperature dual chamber showerhead
KR200462383Y1 (ko) 원자층 증착 챔버 및 부품들
KR20120015995A (ko) 반도체 공정 챔버의 부산물 더스트를 교반 및 제거하기 위한 장치
KR100465523B1 (ko) 냉각구조가 개선된 폐가스처리장치
KR200480896Y1 (ko) 열전달 유체에 의한 teos 적용을 위한 정밀 온도 제어
US20230294116A1 (en) Dual channel showerhead assembly
CN115323359A (zh) 沉积处理装置
CN116716594A (zh) 半导体沉积设备的进气装置、进气方法及衬底处理装置
JPS62257720A (ja) 気相成長装置

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CX01 Expiry of patent term

Granted publication date: 20091223

CX01 Expiry of patent term