CN106024564B - 衬底处理装置及半导体器件的制造方法 - Google Patents

衬底处理装置及半导体器件的制造方法 Download PDF

Info

Publication number
CN106024564B
CN106024564B CN201610025245.9A CN201610025245A CN106024564B CN 106024564 B CN106024564 B CN 106024564B CN 201610025245 A CN201610025245 A CN 201610025245A CN 106024564 B CN106024564 B CN 106024564B
Authority
CN
China
Prior art keywords
gas
gas supply
supply
pipe
lining processor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201610025245.9A
Other languages
English (en)
Other versions
CN106024564A (zh
Inventor
西堂周平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN106024564A publication Critical patent/CN106024564A/zh
Application granted granted Critical
Publication of CN106024564B publication Critical patent/CN106024564B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42FSHEETS TEMPORARILY ATTACHED TOGETHER; FILING APPLIANCES; FILE CARDS; INDEXING
    • B42F7/00Filing appliances without fastening means
    • B42F7/06Filing appliances comprising a plurality of pockets or compartments, e.g. portfolios or cases with a plurality of compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42FSHEETS TEMPORARILY ATTACHED TOGETHER; FILING APPLIANCES; FILE CARDS; INDEXING
    • B42F7/00Filing appliances without fastening means
    • B42F7/04Covers with retention means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及衬底处理装置及半导体器件的制造方法。提供在衬底面内形成均匀的膜的技术。具有:衬底载置部,对衬底进行载置;腔盖,与衬底载置部的至少一部分相对,并且在中央具有气体供给通路;气体供给结构,与气体供给通路连通;反应气体供给部,与气体供给结构连接,具有等离子体生成部;管,设置于气体供给结构内及所述气体供给通路内,与反应气体供给部连通;气体供给部,与气体供给结构连接,向管的外周侧、气体供给结构内侧供给气体。

Description

衬底处理装置及半导体器件的制造方法
技术领域
本发明涉及衬底处理装置及半导体器件的制造方法。
背景技术
近年来,闪存等半导体器件有高集成化的趋势。随之,图案尺寸显著微型化。在形成这些图案时,作为制造工序的一个工序,有时实施对衬底进行氧化处理、氮化处理等规定处理的工序。在这些处理中,使用处于等离子体状态的气体。
发明内容
伴随着微型化,更加要求上述图案在衬底面内均匀形成,然而,存在向衬底面内不均匀地供给等离子体的情况。这种情况下,难以在衬底面内形成均匀的膜。
本发明鉴于上述课题,目的在于提供在衬底面内形成均匀的膜的技术。
本发明的一方案提供如下技术,其具有:
衬底载置部,对衬底进行载置;
腔盖,与所述衬底载置部的至少一部分相对,并且在中央具有气体供给通路;
气体供给结构,与所述气体供给通路连通;
反应气体供给部,与所述气体供给结构连接,具有等离子体生成部;
管(tube),设置于所述气体供给结构内及所述气体供给通路内,与所述反应气体供给部连通;
气体供给部,与所述气体供给结构连接,向所述管的外周侧、所述气体供给结构内侧供给气体。
根据本发明,能够提供在衬底面内形成均匀的膜的技术。
附图说明
[图1]表示本发明的第一实施方式的衬底处理装置的图。
[图2]图1的A-A’线的截面图。
[图3]表示本实施方式的衬底处理工序的流程图。
[图4]表示图3的成膜工序的详情的流程图。
[图5]表示成膜工序中的阀动作等的图。
[图6](a)是表示气体分散通道231b内的、沿着腔盖组装体结构的壁及管261的气体的流速的图。(b)是图6(a)的a-a′截面图。(c)是图6(a)的b-b′截面图。
[图7]用于表示管的下端的上限位置的图。
[图8]用于表示管的下端的下限位置的图。
[图9]用于说明管的前端的形状的另一形态的图。
[图10]用于说明管的前端的形状的又一形态的图。
[图11]用于说明图5的成膜工序的变形例的图。
[图12]用于说明管的前端的形状的比较例的图。
符号说明
100···衬底处理装置
200···晶片(衬底)
201···反应区
202···反应容器
203···搬送空间
212···衬托器
231···腔盖组装体(腔盖部)
261···管
具体实施方式
(第一实施方式)
以下,说明本发明的第一实施方式。
<装置构成>
将本实施方式的衬底处理装置100的构成示于图1。如图1所示,衬底处理装置100以单片式衬底处理装置的形式构成。
(处理容器)
如图1所示,衬底处理装置100包括处理容器202。处理容器202构成为例如横截面为圆形、扁平的密闭容器。此外,处理容器202例如由铝(Al)、不锈钢(SUS)等金属材料构成。在处理容器202内形成有:反应区201(反应室),对作为衬底的硅晶片等晶片200进行处理;和搬送空间203,在将晶片200搬送至反应区201时供晶片200通过。处理容器202由上部容器202a和下部容器202b构成。
在下部容器202b的侧面设置有与闸阀205相邻的衬底搬入搬出口206,晶片200经由衬底搬入搬出口206在下部容器202b与未图示的搬送室之间移动。在下部容器202b的底部设置有多个提升销207。
在反应区201内设置有作为衬底载置部(载置晶片200)的衬托器212。衬托器212主要具有载置晶片200的载置面211和内置于衬托器212的作为加热源的加热器213。在衬托器212上与提升销207相对应的位置,分别设置有供提升销207贯通的贯通孔214。
衬托器212由轴217支承。轴217贯通处理容器202的底部,进一步在处理容器202的外部与升降机构218连接。通过使升降机构218工作而使轴217及衬托器212升降,从而能够使载置在衬底载置面211上的晶片200升降。需要说明的是,轴217下端部的周围由波纹管219覆盖,处理容器202内保持气密。
对于衬托器212而言,在搬送晶片200时,下降至衬底载置面211与衬底搬入搬出口206相对的位置(称为晶片搬送位置或晶片搬送位点),在处理晶片200时,如图1所示,上升直至晶片200达到反应区201内的处理位置(称为晶片处理位置或晶片位点)。
具体而言,在使衬托器212下降至晶片搬送位置时,使提升销207的上端部从衬底载置面211的上表面突出,使提升销207从下方支承晶片200。此外,在使衬托器212上升至晶片处理位置时,使提升销207从衬底载置面211的上表面没入,使衬底载置面211从下方支承晶片200。需要说明的是,由于提升销207与晶片200直接接触,所以由例如石英、氧化铝等材质形成是理想的。
在反应区201的上方配置有腔盖组装体(chamber lid assembly,腔盖部)231。腔盖组装体231的凸部231a贯通设置于顶板204(构成上部容器202a的一部分)的中央的孔204a,并与后述的气体供给结构连接。而且,由于其为低热传导部件,所以由加热器213产生的热不易传导至后述的顶板204、气体供给管。
在腔盖组装体(腔盖)231的中央,从凸部231a朝向腔盖组装体231下方设置有作为气体供给通路的气体分散通道(channel)231b。气体分散通道231b使气体供给结构与反应区201连通。气体分散通道231b的侧壁231c以气体分散通道231b越靠近衬底载置面211、气体分散通道231b的直径越大的方式构成,从而向晶片200上均匀地供给气体。即,腔盖组装体231成为下述结构:从与作为后述气体供给结构的上部241连接的部分朝向下方直径缓缓增大。
气体分散通道231b向衬底载置面211的方向垂直延伸,并且贯通腔盖组装体231延伸至底壁231e。气体分散通道231b的一部分在上部241内沿中心轴250呈圆筒状。气体分散通道231b的其他部分在气体分散通道231b的侧壁231c处以远离中心轴250的方式构成圆锥状。进而,成为如下述那样的结构:在下部231d内,与侧壁231c相比,更加远离中心轴250。气体分散通道231b超过下部231延伸至反应区201,并延伸至扼流圈(choke)251。扼流圈251调节反应区201与处理容器202之间的气流。
作为一个实施例,当衬托器212位于反应区201内的处理位点的位置时,底壁231e与衬托器212上的衬底载置面211之间的最小空间在0.02英寸至2.0英寸之间。优选在0.02英寸至0.2英寸之间。该空间取决于所供给的气体、考虑到底壁231e与衬托器212之间的热传导的工艺条件而进行变化。
在腔盖组装体231内、与顶板204接触的面上,沿顶板204的面设置有由空隙构成的热衰减部235。热衰减部235使热量衰减,以使得由加热器213产生的热不经由腔盖组装体231、顶板204传递至气体供给部的阀。假如阀暴露于高温时,阀的耐久性显著降低。通过设置热衰减部,可延长阀的寿命。
(供给系统)
在设置于凸部231a的气体分散通道231b连接有上部241。上部241构成为筒形状。上部241的凸缘和凸部231a的上表面由未图示的螺钉等固定。在上部241的侧壁连接有至少两个气体供给管。
上部241连接有第一气体供给管243a、第二气体供给管244a、第三气体供给管245a。第二气体供给管244a经由作为等离子体生成部的远程等离子体单元244e与上部241连接。
更详细而言,第一气体供给管243a与缓冲室241a连接。第二气体供给管244a与设置于上部241的顶部的孔241b连接。第三气体供给管245a与缓冲室241c连接。
作为与上部241的侧面连接的气体供给管,将供给非活性气体的第三气体供给管245a设置于最上方。由此,可防止从第一气体供给管243a、管261供给的处理气体迂回至上部241的上方空间。通过防止处理气体的迂回,可抑制由各气体导致的对构成上方空间的上部241的内壁等的成膜,减少颗粒的产生。
从包含第一气体供给管243a的第一气体供给系统243主要供给含有第一元素的气体,从包含第二气体供给管244a的第二气体供给系统244主要供给含有第二元素的气体。在处理晶片时,从作为包含第三气体供给管245a的非活性气体供给部的第三气体供给系统245主要供给非活性气体。
接下来,对缓冲室241a、缓冲室241c和管261之间的关系,使用图2进行说明。由于缓冲室241a和缓冲室241c为相同的结构,所以此处以缓冲室241c为中心进行说明,省略对缓冲室241a的说明。图2是图1的A-A’线的截面图。
241d表示上部241的外壁,241e表示上部241的内壁。在外壁241d与内壁241e之间设置缓冲室241c。在内壁241e上设置多个与空间241g连通的连通孔241f。缓冲室241c经由多个连通孔241f与上部241的内侧的空间241g连通。将气流方向形成为正向方向,以使连通孔241f能够将缓冲空间241c的气体顺利地供给至空间241g。
需要说明的是,可以在与内侧空间241g相向的内壁241e的壁、管261的壁上沿气流的正向设置螺旋状的沟。通过设置沟,能够再现性良好地形成涡流。由此,由于将供给的气体供给至晶片200的边缘部分,所以能够形成更均匀的膜。
接下来说明气体的流动。从供给管245a将供给的气体供给至缓冲空间241c。此时,供给管245a沿内壁241e的切线方向供给气体。供给至缓冲空间241c的气体沿箭头方向流动,经由连通孔241f供给至内侧空间241g。通过形成如上述那样的结构,能够在管261的外侧即空间241g内形成箭头方向的漩涡。称为由缓冲空间241c、内壁241e、连通孔241f构成的涡流形成部。
图6是表示使用图2的结构时的表示气流的模拟结果的图。图6(a)表示气体分散通道231b内的、沿着腔盖组装体结构的壁及管261的气体的流速,图6(b)是图6(a)的a-a′截面图,具体而言,是上部241内的气体分散通道的截面图。图6(c)是图6(a)的b-b′截面图。
在图6(a)~(c)中,箭头的粗细越粗均表示流速越大。由该结果可知,气流越靠近中心轴250(越靠近管261),流速越小。即,沿着侧壁231c的气体的流速比沿着管261的气体的流速大。而且还获知气体越靠近衬底200,流速越慢。即,气体分散通道231b的直径越大,流速越小。如上所述,可知通过用图2所示的结构供给气体,从而在气体分散通道231b内形成气流。由于在底壁231e的下方气体分散通道231b的直径进一步扩大,所以气流在边231e的下方进一步扩散。因此,能够将从第一气体供给管243a、第三气体供给管245a供给的气体均匀地搬送至晶片面内。此处,所谓边231e,是指从侧壁231c到下部231d之间、气体分散通道231b的直径变化的边。
然而,认为假如从图2所示的第一气体供给管243a、第三气体供给管245a供给等离子体状态的气体时,等离子体在到达晶片200前失活。
例如在图2的结构中供给等离子体时,由于气体与连通孔241f、构成缓冲空间241c的壁碰撞,所以有可能在供给至内侧空间241g之前失活。
回到图6,对于供给至内侧空间241g的气体而言,认为气体如箭头的流动那样呈漩涡状地流动,因此,如果气体的流速快,则分解后的气体的成分与壁面等碰撞。因此,供给至内侧空间241g的等离子体在供给至晶片200之前失活。
因此,在本实施方式中,在气体分散通道231b的大致中央部设置后述的管261。等离子体在该管261内流动,将等离子体搬送至气体的流速变慢的部位。由此,能够抑制等离子体失活,将等离子体搬送至晶片200上。
(管)
气体供给管244a经由上部241的孔241b与管261连接。管261的下端261a朝向反应区201延伸。管261例如由石英构成。
管261的下端261a设置于从气体分散通道251的直径开始扩大的区域(参见图7)到气流的主要方向在通道251内发生变化的区域之间(参见图8)。换言之,下端261a的下限设定在下部231d向中心轴250方向的延长线252上。
此处,所谓“气体分散通道251的直径开始扩大的区域”,是表示比内侧空间241g的直径更大的区域,例如是指包含上部241和凸部231a连接的部分的区域。此外,所谓“气流的主要方向在通道251内发生变化的区域”,是指气体分散通道231b的直径进一步扩大的区域,例如称为点231e附近的区域。因此,定量地设定使得在高度方向上将前端261a维持在从凸部231a的上端到点231e之间。通过形成如上述那样的位置,可抑制等离子体的失活,并且使等离子体与先前说明过的漩涡状非活性气体流混合,由此能够向晶片外周搬送等离子体。
(第一气体供给系统)
在第一气体供给管243a上,从上游方向依次设置有第一气体供给源243b、作为流量控制器(流量控制部)的质量流量控制器(MFC)243c、及作为开闭阀的阀243d。
从第一气体供给管243a,将含有第一元素的气体(以下称为“含有第一元素的气体”)经由质量流量控制器243c、阀243d、上部241供给至反应区201。
含有第一元素的气体为原料气体,即处理气体之一。此处,第一元素例如为钛(Ti)。即,含有第一元素的气体例如为含钛气体。需要说明的是,含有第一元素的气体在常温常压下可以为固体、液体及气体中的任一种。含有第一元素的气体在常温常压下为液体时,在第一气体供给源243b与质量流量控制器243c之间设置未图示的气化器即可。此处以气体的形式进行说明。
在第一气体供给管243a的比阀243d更靠近下游的一侧,连接有第一非活性气体供给管246a的下游端。在第一非活性气体供给管246a上,从上游方向依次设置有非活性气体供给源246b、作为流量控制器(流量控制部)的质量流量控制器(MFC)246c、及作为开闭阀的阀246d。
此处,非活性气体例如为氮气(N2)。需要说明的是,作为非活性气体,除N2气外,还可使用例如氦气(He)、氖气(Ne)、氩气(Ar)气体等稀有气体。
含有第一元素的气体供给系统243(也称为含钛气体供给系统、或原料气体供给部)主要由第一气体供给管243a、质量流量控制器243c、阀243d构成。
此外,第一非活性气体供给系统主要由第一非活性气体供给管246a、质量流量控制器246c及阀246d构成。需要说明的是,在第一非活性气体供给系统内可以包括非活性气体供给源246b、第一气体供给管243a。
进而,在含有第一元素的气体供给系统243内可以包括第一气体供给源243b、第一非活性气体供给系统。
(第二气体供给系统)
在第二气体供给管244a的下游设置有远程等离子体单元244e。在第二气体供给管244a的上游,从上游方向依次设置有第二气体供给源244b、作为流量控制器(流量控制部)的质量流量控制器(MFC)244c、及作为开闭阀的阀244d。
从第二气体供给管244a,将含有第二元素的气体(以下称为“含有第二元素的气体”)经由质量流量控制器244c、阀244d、远程等离子体单元244e、上部241、管261供给至反应区201内。通过了远程等离子体单元244e的第二气体转变成等离子体状态,并被供给至晶片200上。
含有第二元素的气体为处理气体之一。需要说明的是,含有第二元素的气体可以为反应气体或改质气体。
此处,含有第二元素的气体含有与第一元素不同的第二元素。第二元素例如为氧(O)、氮(N)、碳(C)中的任一种。在本实施方式中,含有第二元素的气体例如为含氮气体。具体而言,作为含氮气体,可使用氨气(NH3)。
含有第二元素的气体供给系统244(也称为含氮气体供给系统、或反应气体供给部)主要由第二气体供给管244a、质量流量控制器244c、阀244d构成。
此外,在第二气体供给管244a的比阀244d更靠近下游的一侧,连接有第二非活性气体供给管247a的下游端。在第二非活性气体供给管247a上,从上游方向依次设置有非活性气体供给源247b、作为流量控制器(流量控制部)的质量流量控制器(MFC)247c、及作为开闭阀的阀247d。
从第二非活性气体供给管247a,将非活性气体经由质量流量控制器247c、阀247d、第二气体供给管244a、远程等离子体单元244e、管261供给至反应区201内。非活性气体在薄膜形成工序(S104)中作为载气或稀释气体发挥作用。
第二非活性气体供给系统主要由第二非活性气体供给管247a、质量流量控制器247c及阀247d构成。需要说明的是,在第二非活性气体供给系统内可以包括非活性气体供给源247b、第二气体供给管244a、远程等离子体单元244e。
进而,在含有第二元素的气体供给系统244内可以包括第二气体供给源244b、远程等离子体单元244e、第二非活性气体供给系统。
(第三气体供给系统)
在第三气体供给管245a上,从上游方向依次设置有第三气体供给源245b、作为流量控制器(流量控制部)的质量流量控制器(MFC)245c、及作为开闭阀的阀245d。
从第三气体供给管245a,将作为吹扫气体的非活性气体经由质量流量控制器245c、阀245d、缓冲室241c形成漩涡状气流,供给至反应区201。
此处,非活性气体例如为氮气(N2)。需要说明的是,作为非活性气体,除N2气外,还可使用例如氦气(He)、氖气(Ne)、氩气(Ar)等稀有气体。
第三气体供给系统245(也称为气体供给部、或非活性气体供给部)主要由第三气体供给管245a、质量流量控制器245c、阀245d构成。
在衬底处理工序中,从第三气体供给管245a,将非活性气体经由质量流量控制器245c、阀245d供给至反应区201内。
在衬底处理工序中,从非活性气体供给源245b供给的非活性气体作为吹扫处理容器202、气体分散通道231b、上部241的上方空间的吹扫气体发挥作用。进而,作为将从管261供给的等离子体状态的含有第二元素的气体搬送至晶片外周200b的气体发挥作用。
(排气系统)
将处理容器202的气氛排出的排气系统具有与设置于反应区201的侧壁的排气孔221连接的排气管222。在排气管222上设置有将反应区201内控制为规定压力的压力控制器即APC(Auto Pressure Controller)223。APC223具有可调节开度的阀体(未图示),根据来自后述控制器280的指示来调节排气管222的流导(conductance)。在排气管222中,在APC223的下游侧设置有阀224。泵225与阀224的下游侧连接。将排气管222、APC223、阀224统称为排气系统。需要说明的是,可以以包括泵225的方式称为排气系统。
(控制器)
衬底处理装置100具有对衬底处理装置100的各部的动作进行控制的控制器280。控制器280至少具有演算部281及存储部282。控制器280与上述各结构连接,根据上位控制器、使用者的指示从存储部282调出程序、制程,并根据其内容控制各结构的动作。
需要说明的是,控制器280既可以作为专用的计算机而构成,也可以作为通用的计算机而构成。例如,可以通过准备存储有上述程序的外部存储装置(例如,磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器(USB Flash Drive)、存储卡等半导体存储器)283,并使用外部存储装置283将程序安装于通用的计算机,从而构成本实施方式的控制器280。此外,用于向计算机供给程序的手段不限于经由外部存储装置283进行供给的情形。例如,可以不经由外部存储装置283,而使用互联网、专用线路等通信手段来供给程序。
需要说明的是,存储部282、外部存储装置283以计算机可读取的记录介质的形式构成。以下,也将它们仅仅统称为记录介质。需要说明的是,本说明书中使用术语记录介质时,有时仅单独包含存储部282,有时仅单独包含外部存储装置283,或者有时包含上述两者。
<衬底处理工序>
接下来,使用衬底处理装置100,对在晶片200上形成薄膜的工序进行说明。需要说明的是,在以下说明中,利用控制器280来控制构成衬底处理装置100的各部的动作。
图3是表示本实施方式的衬底处理工序的流程图。图4是表示图3的成膜工序的详情的流程图。图5是表示成膜工序中的阀动作等的图。
以下,对作为含有第一元素的气体使用含Ti气体(例如TiCl4)、作为含有第二元素的气体使用含氮气体(例如NH3)从而在晶片200上形成氮化钛膜作为薄膜的例子进行说明。
(衬底搬入·载置工序S102)
在处理装置100中,使衬托器212下降至晶片200的搬送位置,由此使提升销207贯通衬托器212的贯通孔214。结果,提升销207成为仅比衬托器212表面突出规定高度的状态。接下来,打开闸阀205,使搬送空间203与移载室(未图示)连通。然后,使用晶片移载机(未图示)将晶片200从所述移载室搬入搬送空间203,将晶片200移载到提升销207上。由此,将晶片200以水平姿势支承于从衬托器212的表面突出的提升销207上。
在将晶片200搬入处理容器202内后,使晶片移载机后退至处理容器202外,关闭闸阀205从而将密闭处理容器202内密闭。之后,使衬托器212上升,由此使晶片200载置于设置于衬托器212的衬底载置面211上,进一步使衬托器212上升,由此使晶片200上升至前文所述的反应区201内的处理位置。
此外,在衬托器212上载置晶片200时,对内置于衬托器212的内部的加热器213供给电力,以晶片200的表面成为规定温度的方式进行控制。晶片200的温度例如为室温以上且500℃以下,优选为室温以上且400℃以下。此时,通过基于由未图示的温度传感器检测到的温度信息来控制对加热器213的通电情况,由此调节加热器213的温度。从衬底搬入·载置工序S102到后述的衬底搬出工序S106为止持续控制加热器213。
(成膜工序S104)
接下来,进行薄膜形成工序S104。以下,参照图4,对成膜工序S104进行详细说明。需要说明的是,成膜工序S104为将交替供给不同处理气体的工序反复进行的循环处理。
(第一处理气体供给工序S202)
如果加热晶片200达到所希望的温度,则打开阀243d,并且调节质量流量控制器243c以使TiCl4气体的流量成为规定流量。需要说明的是,TiCl4气体的供给流量例如为100sccm以上且5000sccm以下。此时,打开阀224,通过APC223进行控制,以使反应区201的压力成为规定压力。进而,打开第三气体供给系统的阀245d,从第三气体供给管245a供给N2气。此外,还可以从第一非活性气体供给系统流过N2气。此外,还可以在该工序前,从第三气体供给管245a开始N2气的供给。
供给至处理容器202的TiCl4气体被供给至晶片200上。在晶片200的表面使TiCl4气体与晶片200上接触,由此形成作为“含第一元素层”的含钛层。
与例如反应区201内的压力、TiCl4气体的流量、衬托器212的温度等相应地,以规定的厚度及规定的分布形成含钛层。需要说明的是,可以在晶片200上预先形成规定的膜。此外,还可以在晶片200或规定的膜上预先形成规定的图案。
从开始TiCl4气体的供给起经过规定时间后,关闭阀243d,停止TiCl4气体的供给。
(吹扫工序S204)
接着,从第三气体供给管245a供给N2气,进行反应区201的吹扫。此时,也打开阀224,从而通过APC223进行控制,以使反应区201的压力成为规定压力。由此,在第一处理气体供给工序S202中无法与晶片200键合的TiCl4气体经由排气管222从反应区201除去。
如果反应区201的吹扫结束,则将阀224打开,再次开始由APC223进行的压力控制。
(第二处理气体供给工序S206)
吹扫工序S204之后,打开阀244d,开始向反应区201供给等离子体状态的含氮气体。在本实施例中,作为含氮气体,使用氨气(NH3)。
此时,调节质量流量控制器244c,以使含氮气体的流量成为规定流量。需要说明的是,含氮气体的供给流量例如为100sccm以上且5000sccm以下。需要说明的是,还可以与含氮气体一同,从第二非活性气体供给系统流过N2气作为载气。此外,在该工序中,也可以将第三气体供给系统的阀245d打开,从第三气体供给管245a供给N2气。从第三气体供给管245供给的N2气以管261为中心,在内部空间241g(构成于管261的外周侧、上部241的内侧)、气体分散通道231b内形成涡状气流。
从管前端261a排出的等离子体状的含氮气体被供给至晶片中心200a。进而,随着形成于管前端261a的周围的非活性气体的涡流运送至晶片200的外周200b。
含氮气体被供给至晶片中心200a、晶片外周200b上。利用含氮气体对已经形成的含钛层进行改质,由此在晶片200上形成含有例如钛元素及氮元素的层。如上所述,能够在晶片面内均匀地形成膜。
与例如反应区201内的压力、氮气体的流量、衬托器212的温度等相应地,以规定的厚度、规定的分布、规定的氧成分等对含钛层的渗入深度形成改质层。
经过规定的时间后,关闭阀244d,停止含氮气体的供给。
在S206中,也与上述S202同样地,打开阀224,通过APC223进行控制以使反应区201的压力成为规定压力。
(吹扫工序S208)
接着,执行与S204相同的吹扫工序。由于各部分的动作如S204中所述,所以省略此处的说明
(判定S210)
控制器280对是否将上述1个循环实施了规定次数(n cycle)进行判定。
在没有实施规定次数时(S210中为否时),重复第一处理气体供给工序S202、吹扫工序S204、第二处理气体供给工序S206、吹扫工序S208的这一循环。当实施了规定次数时(S210中为是的情况),结束图4所示的处理。
若回到图3的说明,则接着执行衬底搬出工序S106。
(衬底搬出工序S106)
在衬底搬出工序S106中,使衬托器212下降,使晶片200支承在从衬托器212的表面突出的提升销207上。由此,晶片200从处理位置变为搬送位置。之后,打开闸阀205,使用晶片移载机将晶片200搬出到处理容器202外。此时,关闭阀245d,停止从第三气体供给系统向处理容器202内供给非活性气体。
(处理次数判定工序S108)
搬出晶片200后,对薄膜形成工序是否达到规定次数进行判定。在判断为达到了规定次数后,结束处理。
(第二实施方式)
接下来,使用图9来说明第二实施方式。图9是将管前端261a放大的图。
首先,使用图12来说明比较例。箭头301表示管261的外侧的气体(含有第一元素的气体)的流动,箭头302表示从管261的内侧供给的气体(含有第二元素的气体)的流动。
由于前端303为角状,所以在第一处理气体供给工序S202中供给的含有第一元素的气体与构成管261的筒的外周侧前端303碰撞,进而附着。此外,由于管261的前端303为角状,所以迂回至管261的内周侧前端304的气体与之碰撞并附着。
因此,如果在第二气体供给工序S206中供给含有第二元素的气体,则含有第二元素的气体与附着在前端303、304的含有第一元素的气体接触并反应,在外周侧前端303上形成未打算形成的膜。认为由于无法控制形成的膜的膜密度、强度,所以形成的膜在衬底处理中剥离,从而对膜质造成不良影响。
本实施方式可解决上述问题。以下使用图9来说明具体的内容。图9中,将管261的外周侧前端、内周侧前端形成圆弧形状。通过形成这样的结构,不会对气流造成阻碍,因此能够抑制未打算形成的膜的形成。
(实施方式3)
接下来,使用图10来说明实施方式3。在本实施方式中,以将管261的前端朝向处理区201扩大的方式构成。如果形成这样的结构,则含有第二元素的气体沿前端流动,因而容易与在管261的外周流动的涡流汇合。
(实施方式4)
接下来,使用图11来说明本实施方式。图11是实施方式1的气体流程(图5)的变形例。第二处理气体供给工序S206中的非活性气体的供给量不同。具体而言,使非活性气体的供给量比第一处理气体供给工序S202少。由此,能够降低暴露于等离子体并活化的第一处理气体与非活性气体的碰撞几率,结果能够进一步抑制等离子体的失活。
以上,以本发明的各种典型的实施方式的形式对成膜技术进行了说明,但本发明并不限定于这些实施方式。例如,也可以适用于进行除上述例示过的薄膜之外的成膜处理、扩散处理、氧化处理、氮化处理等其他衬底处理的情形。此外,本发明也可以适用于膜形成装置、蚀刻装置、氧化处理装置、氮化处理装置、涂布装置、加热装置等其他衬底处理装置。此外,可以将某个实施方式的结构的一部分替换为其他实施方式的结构,此外,也可以在某个实施方式的结构中添加其他实施方式的结构。此外,对于各实施方式的结构的一部分而言,也可以增加其他结构、进行删除或替换。
(本发明的优选方案)
以下,附记本发明的优选方案。
〔附记1〕
根据本发明的一方案,提供一种衬底处理装置,其具有:
衬底载置部,对衬底进行载置;
腔盖,与所述衬底载置部的至少一部分相对,并且在中央具有气体供给通路;
气体供给结构,与所述气体供给通路连通;
反应气体供给部,与所述气体供给结构连接,具有等离子体生成部;
管,设置于所述气体供给结构内及所述气体供给通路内,与所述反应气体供给部连通;
气体供给部,与所述气体供给结构连接,向所述管的外周侧、所述气体供给结构内侧供给气体。
〔附记2〕
提供如附记1所述的衬底处理装置,优选,构成所述气体供给通路的所述腔盖的内壁,以从与所述气体供给结构的下表面连接的连接部朝向衬底载置部扩大的方式构成,所述管的前端配置于所述内壁内。
〔附记3〕
提供如附记1或附记2所述的衬底处理装置,优选,所述气体供给结构为筒形状,所述反应气体供给部与所述筒形状的一端连接,所述气体供给部的供给管与筒形状的侧面连接。
〔附记4〕
提供如附记3所述的衬底处理装置,优选,在所述筒形状设置有在内部形成涡流的涡流形成部,所述气体供给管与所述涡流形成部连接。
〔附记5〕
提供如附记1至附记4中任一项所述的衬底处理装置,优选,供给原料气体的原料气体供给部与所述气体供给结构连接。
〔附记6〕
提供如附记1至附记5中任一项所述的衬底处理装置,优选,所述气体供给部的供给管以供给非活性气体的方式构成,与连接有所述原料气体供给部的供给管的连接孔的位置相比,连接有该供给管的连接孔的位置为更高的位置。
〔附记7〕
提供如附记6所述的衬底处理装置,优选,以下述方式进行控制:在向所述气体供给通路供给所述原料气体时,打开所述原料气体供给部的阀,打开所述非活性气体供给部的阀,关闭所述反应气体供给部的阀;在向所述气体供给通路供给所述反应气体时,关闭所述原料气体供给部的阀,打开所述非活性气体供给部的阀,打开所述反应气体供给部的阀。
〔附记8〕
提供如附记7所述的衬底处理装置,优选,以下述方式进行控制:交替进行所述原料气体的供给和所述反应气体的供给。
〔附记9〕
根据另一方式,提供一种半导体器件的制造方法,其具有下述工序:
在衬底载置部上载置衬底的工序;
经由插入于气体供给通路的反应气体供给管,从反应气体供给部供给等离子体状的反应气体,并且在所述管的外周侧从与所述气体供给结构连接的气体供给部供给非活性气体,对衬底进行处理的工序,所述气体供给通路设置于与所述衬底载置部的至少一部分相对的腔盖结构的中央。
〔附记10〕
根据又一方式,提供一种使计算机执行下述步骤的程序,所述步骤为:
在衬底载置部上载置衬底的步骤;
经由插入于气体供给通路的反应气体供给管,从反应气体供给部供给等离子体状的反应气体,并且在所述管的外周侧从与所述气体供给结构连接的气体供给部供给非活性气体,对衬底进行处理的步骤,所述气体供给通路设置于与所述衬底载置部的至少一部分相对的腔盖结构的中央。
〔附记11〕
根据又一方式,提供一种存储有执行下述工序的程序的计算机可读取的存储介质,所述工序为:
在衬底载置部上载置衬底的工序;
经由插入于气体供给通路的反应气体供给管,从反应气体供给部供给等离子体状的反应气体,并且从所述气体供给通路供给以所述供给管为中心的涡状的非活性气体,对衬底进行处理的工序,所述气体供给通路设置于与所述衬底载置部的至少一部分相对的腔盖结构的中央。

Claims (20)

1.一种衬底处理装置,其具有:
衬底载置部,对衬底进行载置;
腔盖,与所述衬底载置部的至少一部分相对,并且在中央具有气体供给通路;
气体供给结构,与所述气体供给通路连通、并且连接于所述腔盖;
反应气体供给部,于所述气体供给结构的上游连接,具有等离子体生成部;
管,设置于所述气体供给结构内及所述气体供给通路内,内周与所述反应气体供给部连通;
气体供给部,于所述气体供给结构的上游连接,与所述管的外周与构成所述气体供给通路的所述腔盖的侧壁之间的空间连通。
2.如权利要求1所述的衬底处理装置,其中,构成所述气体供给通路的所述腔盖的侧壁,以从与所述气体供给结构的下表面连接的连接部朝向衬底载置部扩大的方式构成,所述管的前端配置于所述侧壁内。
3.如权利要求2所述的衬底处理装置,其中,所述气体供给结构为筒形状,所述反应气体供给部与所述筒形状的一端连接,所述气体供给部的供给管与筒形状的侧面连接。
4.如权利要求3所述的衬底处理装置,其中,在所述筒形状设置有在内部形成涡流的涡流形成部,所述气体供给部的供给管与所述涡流形成部连接。
5.如权利要求4所述的衬底处理装置,其中,供给原料气体的原料气体供给部与所述气体供给结构连接。
6.如权利要求5所述的衬底处理装置,其中,所述气体供给部的供给管以供给非活性气体的方式构成,与连接有所述原料气体供给部的供给管的连接孔的位置相比,连接有所述气体供给部的所述供给管的连接孔的位置为更高的位置。
7.如权利要求3所述的衬底处理装置,其中,供给原料气体的原料气体供给部与所述气体供给结构连接。
8.如权利要求7所述的衬底处理装置,其中,所述气体供给部的供给管以供给非活性气体的方式构成,与连接有所述原料气体供给部的供给管的连接孔的位置相比,连接有所述气体供给部的所述供给管的连接孔的位置为更高的位置。
9.如权利要求2所述的衬底处理装置,其中,供给原料气体的原料气体供给部与所述气体供给结构连接。
10.如权利要求9所述的衬底处理装置,其中,所述气体供给部的供给管以供给非活性气体的方式构成,与连接有所述原料气体供给部的供给管的连接孔的位置相比,连接有所述气体供给部的所述供给管的连接孔的位置为更高的位置。
11.如权利要求1所述的衬底处理装置,其中,所述气体供给结构为筒形状,所述反应气体供给部与所述筒形状的一端连接,所述气体供给部的供给管与筒形状的侧面连接。
12.如权利要求11所述的衬底处理装置,其中,在所述筒形状设置有在内部形成涡流的涡流形成部,所述气体供给部的供给管与所述涡流形成部连接。
13.如权利要求12所述的衬底处理装置,其中,供给原料气体的原料气体供给部与所述气体供给结构连接。
14.如权利要求13所述的衬底处理装置,其中,所述气体供给部的供给管以供给非活性气体的方式构成,与连接有所述原料气体供给部的供给管的连接孔的位置相比,连接有所述气体供给部的所述供给管的连接孔的位置为更高的位置。
15.如权利要求11所述的衬底处理装置,其中,供给原料气体的原料气体供给部与所述气体供给结构连接。
16.如权利要求15所述的衬底处理装置,其中,所述气体供给部的供给管以供给非活性气体的方式构成,与连接有所述原料气体供给部的供给管的连接孔的位置相比,连接有所述气体供给部的所述供给管的连接孔的位置为更高的位置。
17.如权利要求1所述的衬底处理装置,其中,供给原料气体的原料气体供给部与所述气体供给结构连接。
18.如权利要求17所述的衬底处理装置,其中,所述气体供给部的供给管以供给非活性气体的方式构成,与连接有所述原料气体供给部的供给管的连接孔的位置相比,连接有所述气体供给部的所述供给管的连接孔的位置为更高的位置。
19.如权利要求18所述的衬底处理装置,其中,以下述方式进行控制:在向所述气体供给通路供给所述原料气体时,打开所述原料气体供给部的阀,打开所述非活性气体供给部的阀,关闭所述反应气体供给部的阀;在向所述气体供给通路供给所述反应气体时,关闭所述原料气体供给部的阀,打开所述非活性气体供给部的阀,打开所述反应气体供给部的阀。
20.一种半导体器件的制造方法,其使用下述衬底处理装置,所述衬底处理装置具有:
衬底载置部,对衬底进行载置;
腔盖,与所述衬底载置部的至少一部分相对,并且在中央具有气体供给通路;
气体供给结构,与所述气体供给通路连通、并且连接于所述腔盖;
反应气体供给部,于所述气体供给结构的上游连接,具有等离子体生成部;
管,设置于所述气体供给结构内及所述气体供给通路内,内周与所述反应气体供给部连通;
气体供给部,于所述气体供给结构的上游连接,与所述管的外周与构成所述气体供给通路的所述腔盖的侧壁之间的空间连通,
所述半导体器件的制造方法具有下述工序:
在所述衬底载置部上载置衬底的工序;
经由所述管,从所述反应气体供给部供给等离子体状的反应气体,并且从所述气体供给部供给非活性气体,对所述衬底进行处理的工序。
CN201610025245.9A 2015-03-26 2016-01-14 衬底处理装置及半导体器件的制造方法 Expired - Fee Related CN106024564B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-064840 2015-03-26
JP2015064840A JP5961297B1 (ja) 2015-03-26 2015-03-26 基板処理装置、半導体装置の製造方法及びプログラム

Publications (2)

Publication Number Publication Date
CN106024564A CN106024564A (zh) 2016-10-12
CN106024564B true CN106024564B (zh) 2018-03-30

Family

ID=56550479

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610025245.9A Expired - Fee Related CN106024564B (zh) 2015-03-26 2016-01-14 衬底处理装置及半导体器件的制造方法

Country Status (5)

Country Link
US (1) US20160284517A1 (zh)
JP (1) JP5961297B1 (zh)
KR (1) KR101846846B1 (zh)
CN (1) CN106024564B (zh)
TW (1) TWI589728B (zh)

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7104973B2 (ja) * 2018-10-29 2022-07-22 スピードファム株式会社 局所ドライエッチング装置
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2020084290A (ja) 2018-11-29 2020-06-04 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP7103271B2 (ja) 2019-02-26 2022-07-20 三菱ケミカル株式会社 積層シート
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111489948B (zh) * 2020-04-20 2023-01-17 北京北方华创微电子装备有限公司 半导体腔室及其进气结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201367461Y (zh) * 2007-09-28 2009-12-23 应用材料股份有限公司 原子层沉积室及其部件
TW201432781A (zh) * 2012-09-27 2014-08-16 Hitachi Int Electric Inc 基板處理裝置、蓋體及半導體裝置之製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4489041A (en) * 1983-07-06 1984-12-18 Allied Corporation Non plugging falling film plasma reactor
JP2547740B2 (ja) * 1986-08-28 1996-10-23 キヤノン株式会社 堆積膜形成法
JPH02308534A (ja) * 1989-05-24 1990-12-21 Toshiba Corp 半導体基板の薄膜形成装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
KR20010096229A (ko) * 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
JP2002093823A (ja) * 2000-09-14 2002-03-29 Tohoku Ricoh Co Ltd 薄膜形成装置
WO2003035927A2 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
JP5985338B2 (ja) * 2012-09-28 2016-09-06 小島プレス工業株式会社 プラズマcvd装置
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201367461Y (zh) * 2007-09-28 2009-12-23 应用材料股份有限公司 原子层沉积室及其部件
TW201432781A (zh) * 2012-09-27 2014-08-16 Hitachi Int Electric Inc 基板處理裝置、蓋體及半導體裝置之製造方法

Also Published As

Publication number Publication date
CN106024564A (zh) 2016-10-12
US20160284517A1 (en) 2016-09-29
TWI589728B (zh) 2017-07-01
KR101846846B1 (ko) 2018-04-09
JP5961297B1 (ja) 2016-08-02
JP2016183391A (ja) 2016-10-20
KR20160115687A (ko) 2016-10-06
TW201702419A (zh) 2017-01-16

Similar Documents

Publication Publication Date Title
CN106024564B (zh) 衬底处理装置及半导体器件的制造方法
US11020760B2 (en) Substrate processing apparatus and precursor gas nozzle
KR101622666B1 (ko) 기판 처리 장치, 챔버 덮개 구조, 기판의 생산 방법을 기억한 프로그램 및 기판의 생산 방법
TWI657501B (zh) 基板處理裝置、反應管、半導體裝置之製造方法及程式
TWI751301B (zh) 基板處理裝置及基板處理方法
KR101580939B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
TWI693301B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
US11732357B2 (en) Substrate processing method and substrate processing apparatus
CN106032571A (zh) 衬底处理装置、气体分散单元、半导体器件的制造方法及程序
CN112513324A (zh) 成膜装置和成膜方法
KR101755335B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR102127130B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US20190385843A1 (en) Method of forming metal film and film forming apparatus
JP7016920B2 (ja) 基板処理装置、基板支持具、半導体装置の製造方法および基板処理方法
US11551933B2 (en) Substrate processing method and substrate processing apparatus
CN115132560A (zh) 反应管、处理装置、和半导体装置的制造方法
WO2020059093A1 (ja) 基板処理装置
US9559022B1 (en) Method of manufacturing semiconductor device
TW201940730A (zh) 預塗方法及成膜方法
KR102552458B1 (ko) 기판 처리 장치, 기판 지지구 및 반도체 장치의 제조 방법
US20230124029A1 (en) Particle suppression method
US20200056287A1 (en) Film-Forming Method and Film-Forming Apparatus
JP2024004275A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2024041538A (ja) 基板処理装置、半導体装置の製造方法、及びプログラム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181203

Address after: Tokyo, Japan

Patentee after: KOKUSAI ELECTRIC Corp.

Address before: Tokyo, Japan

Patentee before: HITACHI KOKUSAI ELECTRIC Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180330