JP2016183391A - 基板処理装置、半導体装置の製造方法及びプログラム - Google Patents

基板処理装置、半導体装置の製造方法及びプログラム Download PDF

Info

Publication number
JP2016183391A
JP2016183391A JP2015064840A JP2015064840A JP2016183391A JP 2016183391 A JP2016183391 A JP 2016183391A JP 2015064840 A JP2015064840 A JP 2015064840A JP 2015064840 A JP2015064840 A JP 2015064840A JP 2016183391 A JP2016183391 A JP 2016183391A
Authority
JP
Japan
Prior art keywords
gas supply
gas
substrate
supply unit
tube
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015064840A
Other languages
English (en)
Other versions
JP5961297B1 (ja
Inventor
周平 西堂
Shuhei Nishido
周平 西堂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2015064840A priority Critical patent/JP5961297B1/ja
Priority to TW104143801A priority patent/TWI589728B/zh
Priority to CN201610025245.9A priority patent/CN106024564B/zh
Priority to KR1020160005817A priority patent/KR101846846B1/ko
Priority to US15/004,161 priority patent/US20160284517A1/en
Application granted granted Critical
Publication of JP5961297B1 publication Critical patent/JP5961297B1/ja
Publication of JP2016183391A publication Critical patent/JP2016183391A/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42FSHEETS TEMPORARILY ATTACHED TOGETHER; FILING APPLIANCES; FILE CARDS; INDEXING
    • B42F7/00Filing appliances without fastening means
    • B42F7/06Filing appliances comprising a plurality of pockets or compartments, e.g. portfolios or cases with a plurality of compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B42BOOKBINDING; ALBUMS; FILES; SPECIAL PRINTED MATTER
    • B42FSHEETS TEMPORARILY ATTACHED TOGETHER; FILING APPLIANCES; FILE CARDS; INDEXING
    • B42F7/00Filing appliances without fastening means
    • B42F7/04Covers with retention means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板面内に均一な膜を形成する技術を提供する。【解決手段】基板を載置する基板載置部と、基板載置部の少なくとも一部と対向すると共に、中央にガス供給経路を有するチャンバ蓋と、ガス供給経路と連通するガス供給構造と、ガス供給構造に接続され、プラズマ生成部を有する反応ガス供給部と、ガス供給構造内及びガス供給経路内に設けられ、反応ガス供給部に連通するチューブと、ガス供給構造に接続され、チューブの外周側であって、ガス供給構造内側にガスを供給するガス供給部と、を有する。【選択図】図1

Description

本発明は、基板処理装置、半導体装置の製造方法及びプログラムに関する。
近年、フラッシュメモリ等の半導体装置は高集積化の傾向にある。それに伴い、パターンサイズが著しく微細化されている。これらのパターンを形成する際、製造工程の一工程として、基板に酸化処理や窒化処理等の所定の処理を行う工程が実施される場合がある。これらの処理では、プラズマ状態としたガスを使用している。
微細化に伴い、上記パターンは基板面内で均一に形成することがより求められているが、プラズマが基板面内に均一に供給されない場合がある。そのような場合、基板面内に均一な膜を形成することが困難であった。
本発明は上記した課題に鑑み、基板面内に均一な膜を形成する技術を提供することを目的とする。
本発明の一態様にあっては、
基板を載置する基板載置部と、
前記基板載置部の少なくとも一部と対向すると共に、中央にガス供給経路を有するチャンバ蓋と、
前記ガス供給経路と連通するガス供給構造と、
前記ガス供給構造に接続され、プラズマ生成部を有する反応ガス供給部と、
前記ガス供給構造内及び前記ガス供給経路内に設けられ、
前記反応ガス供給部に連通するチューブと、
前記ガス供給構造に接続され、前記チューブの外周側であって、前記ガス供給構造内側にガスを供給するガス供給部と、
を有する技術が提供される。
本発明によれば、基板面内に均一な膜を形成する技術を提供可能とする。
本発明の第1実施形態に係る基板処理装置を示す図である。 図1のA−A’線の断面図である。 本実施形態に係る基板処理工程を示すフロー図である。 図3の成膜工程の詳細を示すフロー図である。 成膜工程におけるバルブ動作等を示した図である。 (a)はガス分散チャネル231b内の、チャンバリッドアッセンブリ構造の壁及びチューブ261に沿ったガスの流速を示す図である。(b)は、図6(a)のa−a´断面図である。(c)は、図6(a)のb−b´断面図である。 チューブの下端の上限位置を示すための図である。 チューブの下端の下限位置を示すための図である。 チューブの先端の形状の別形態を説明するための図である。 チューブの先端の形状の更に別形態を説明するための図である。 図5の成膜工程の変形例を説明するための図である。 チューブの先端の形状の比較例を説明するための図である。
(第1実施形態)
以下、本発明の第1実施形態を説明する。
<装置構成>
本実施形態に係る基板処理装置100の構成を図1に示す。基板処理装置100は、図1に示されているように、枚葉式の基板処理装置として構成されている。
(処理容器)
図1に示すように、基板処理装置100は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料により構成されている。処理容器202内には、基板としてのシリコンウエハ等のウエハ200を処理する反応ゾーン201(反応室)と、ウエハ200を反応ゾーン201に搬送する際にウエハ200が通過する搬送空間203とが形成されている。処理容器202は、上部容器202aと下部容器202bで構成される。
下部容器202bの側面には、ゲートバルブ205に隣接した基板搬入出口206が設けられており、ウエハ200は基板搬入出口206を介して図示しない搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。
反応ゾーン201内には、ウエハ200を載置する基板載置部としてのサセプタ212が設けられている。サセプタ212は、ウエハ200を載置する載置面211と、サセプタ212に内包された加熱源としてのヒータ213を主に有する。サセプタ212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられている。
サセプタ212はシャフト217によって支持される。シャフト217は、処理容器202の底部を貫通しており、更には処理容器202の外部で昇降機構218に接続されている。昇降機構218を作動させてシャフト217及びサセプタ212を昇降させることにより、基板載置面211上に載置されるウエハ200を昇降させることが可能となっている。なお、シャフト217下端部の周囲はベローズ219により覆われており、処理容器202内は気密に保持されている。
サセプタ212は、ウエハ200の搬送時には、基板載置面211が基板搬入出口206に対向する位置(ウエハ搬送位置またはウエハ搬送ポジションと呼ぶ。)まで下降し、ウエハ200の処理時には、図1で示されるように、ウエハ200が反応ゾーン201内の処理位置(ウエハ処理位置またはウエハポジションと呼ぶ。)となるまで上昇する。
具体的には、サセプタ212をウエハ搬送位置まで下降させた時には、リフトピン207の上端部が基板載置面211の上面から突出して、リフトピン207がウエハ200を下方から支持するようになっている。また、サセプタ212をウエハ処理位置まで上昇させたときには、リフトピン207は基板載置面211の上面から埋没して、基板載置面211がウエハ200を下方から支持するようになっている。なお、リフトピン207は、ウエハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。
反応ゾーン201の上方には、チャンバリッドアッセンブリ(チャンバ蓋部)231が配置されている。チャンバリッドアッセンブリ231の凸部231aは、上部容器202aの一部を構成する天板204の中央に設けられた孔204aを貫通し、後述するガス供給構造に接続される。更には、低熱伝導部材とすることで、ヒータ213で発生する熱が後述する天板204やガス供給管に伝達しにくいようにしている。
チャンバリッドアッセンブリ(チャンバ蓋)231の中央には、凸部231aからチャンバリッドアッセンブリ231下方に向けてガス供給経路としてのガス分散チャネル231bが設けられている。ガス分散チャネル231bは、ガス供給構造と反応ゾーン201を連通させる。ガス分散チャネル231bの側壁231cは、ガス分散チャネル231bが基板載置面211に近づくほど径が広がるよう構成され、ウエハ200上に均一にガスが供給される。即ち、チャンバリッドアッセンブリ231は、後述するガス供給構造としての上部241との接続部分から下方にかけて徐々に径が広がる構成となっている。
ガス分散チャネル231bは、基板載置面211の方向に垂直に延在し、また、チャンバリッドアッセンブリ231を貫通して底壁231eまで延びている。ガス分散チャネル231bの一部分は、上部241内では中心軸250に沿って円筒状である。ガス分散チャネル231bの他の部分は、ガス分散チャネル231bの側壁231cで中心軸250から離れるようにテーパ状で構成されている。更に、下部231d内では中心軸250から、側壁231cに比べて更に離れるような構造となっている。ガス分散チャネル231bは下部231dを超えて反応ゾーン201まで延び、チョーク251まで延在している。
チョーク251は、反応ゾーン201と処理容器202間のガスの流れを調節する。
ひとつの実施例として、サセプタ212が反応ゾーン201内のプロセスポジションの位置にある場合、底壁231eとサセプタ212上の基板載置面211との間の最小の空間は、0.02インチから2.0インチの間である。好ましくは0.02インチから0.2インチの間である。この空間は、供給されるガスや、底壁231eとサセプタ212間の熱の伝導を考慮したプロセス条件に依存して変化する。
チャンバリッドアッセンブリ231の内、天板204と接触する面には、天板204の面に沿って、空隙で構成される熱減衰部235が設けられている。熱減衰部235は、ヒータ213で発生する熱が、チャンバリッドアッセンブリ231、天板204を介してガス供給部のバルブに高熱が伝わらないよう、熱エネルギーを減衰させている。仮にバルブが高温に曝された場合、バルブの耐久性が著しく低くなってしまう。熱減衰部を設けることで、バルブの寿命を延ばしている。
(供給系)
凸部231aに設けられたガス分散チャネル231bには、上部241が接続されている。上部241は筒形状に構成される。上部241のフランジと凸部231aの上面は、図示しないねじ等で固定されている。上部241の側壁には、少なくとも二つのガス供給管が接続されている。
上部241には、第一ガス供給管243a、第二ガス供給管244a、第三ガス供給管245aが接続されている。第二ガス供給管244aは、プラズマ生成部としてのリモートプラズマユニット244eを介して上部241に接続される。
より詳細には、第一ガス供給管243aはバッファ室241aに接続される。第二ガス供給管244aは上部241の天井に設けられた孔241bに接続される。第三ガス供給管245aはバッファ室241cに接続される。
上部241の側面に接続されるガス供給管としては、不活性ガスが供給される第三ガス供給管245aを最も上方に設ける。このようにすることで、第一ガス供給管243aやチューブ261から供給される処理ガスを、上部241の上方空間に回り込むことを防いでいる。処理ガスの回りこみを防ぐことで、各ガスに起因する上方空間を構成する上部241の内壁等への成膜を抑制し、パーティクルの発生を低減している。
第一ガス供給管243aを含む第一ガス供給系243からは第一元素含有ガスが主に供給され、第二ガス供給管244aを含む第二ガス供給系244からは主に第二元素含有ガスが供給される。第三ガス供給管245aを含む不活性ガス供給部としての第三ガス供給系245からは、ウエハを処理する際には主に不活性ガスが供給される。
続いて、バッファ室241a、バッファ室241cとチューブ261の関係について図2を用いて説明する。バッファ室241aとバッファ室241cは同様の構成であるため、ここではバッファ室241cを中心に説明し、バッファ室241aの説明は省略する。図2は図1のA−A’線の断面図である。
241dは上部241の外壁、241eは上部241の内壁を示す。外壁241dと内壁241eの間にバッファ室241cが設けられる。内壁241eには、空間241gとの連通孔241fが複数設けられている。バッファ室241cは、複数の連通孔241fを介して上部241の内側の空間241gに連通される。連通孔241fはバッファ空間241cのガスをスムーズに空間241gに供給できるよう、ガスの流れ方向と順方向の向きに形成されている。
尚、内側空間241gと向かい合う内壁241eの壁やチューブ261の壁に、ガス流れの順方向にらせん状の溝を設けても良い。溝を設けることで、渦形状の流れを再現性良く形成することが可能となる。このようにすると、供給されるガスがウエハ200のエッジ部分まで供給されるので、より均一な膜形成が可能となる。
続いてガスの流れを説明する。
供給管245aから供給されたガスはバッファ空間241cに供給される。この際、供給管245aは、内壁241eに対する接線方向にガスを供給するようにしている。バッファ空間241cに供給されたガスは、矢印の方向にガスが流れ、連通孔241fを介して内側空間241gに供給される。このような構造とすることでチューブ261の外側である空間241gに、矢印方向の渦を形成することが可能となる。バッファ空間241c、内壁241e、連通孔241fにより構成される渦流形成部と称する。
図6は図2の構造を用いた場合のガスの流れを示すシミュレーション結果を表した図である。図6(a)はガス分散チャネル231b内の、チャンバリッドアッセンブリ構造の壁及びチューブ261に沿ったガスの流速を示し、図6(b)は図6(a)のa−a´断面図である。具体的には、上部241内のガス分散チャネルの断面図である。図6(c)は、図6(a)のb−b´断面図である。
いずれも矢印の太さが太いほど流速が大きいことを表している。この結果から、ガス流れは、中心軸250に近いほど(チューブ261に近いほど)流速が小さいことがわかる。即ち、側壁231cに沿ったガスの流速は、チューブ261に沿ったガスの流速よりも大きい。更に、ガスが基板200に近づくほど流速は遅くなることが分かる。即ち、ガス分散チャネル231bの径が大きいほど流速が小さい。このように、図2に示す構造でガスを供給することで、ガス分散チャネル231b内にガス流れが形成されることがわかる。底壁231eの下方ではガス分散チャネル231bの径が更に広がることから、ガス流れは辺231eの下方で更に広がっていく。従って、第一ガス供給管243a、第三ガス供給管245aから供給されるガスをウエハ面内に均一に搬送することが可能となる。ここで、辺231eとは、側壁231cから下部231dとの間であって、ガス分散チャネル231bの径が変わる辺を言う。
ところで、仮にプラズマ状態のガスを図2に示す、第一ガス供給管243aや第三ガス供給管245aから供給した場合、プラズマがウエハ200に到達する前に失活してしまうことが考えられる。
例えば図2の構造にプラズマを供給した場合、ガスが連通孔241fやバッファ空間241cを構成する壁に衝突してしまうため、内側空間241gに供給される前に失活する恐れがある。
図6に戻ると、内側空間241gに供給されたガスは、矢印の流れのように、渦巻き状にガスが流れるため、ガスの流速が速いと、分解されたガスの成分が壁面等に衝突してしまうことが考えられる。そのため、内側空間241gに供給されたプラズマは、ウエハ200に供給される前に失活してしまう。
そこで、本実施形態では、ガス分散チャネル231bの略中央部に、後述するチューブ261を設けた。このチューブ261内にプラズマが流れるようにし、ガスの流速が遅くなる箇所までプラズマを搬送する。このようにすることで、プラズマが失活することを抑制し、ウエハ200上にプラズマを搬送することができる。
(チューブ)
ガス供給管244aは、上部241の孔241bを介してチューブ261に接続される。チューブ261の下端261aは反応ゾーン201に向かって延伸される。チューブ261は例えば石英で構成される。
チューブ261の下端261aは、ガス分散チャネル251の径が広がり始める領域から(図7参照)、ガス流れの主方向がチャネル251に変化する領域の間(図8参照)に設定される。言い換えると、下端261aの下限は、下部231dの中心軸250方向への延長線252上に設定される。
ここで、「ガス分散チャネル251の径が広がり始める領域」とは、内側空間241gの径よりも大きくなる領域を示し、例えば上部241と凸部231aが接続される部分を含む領域を言う。また、「ガス流れの主方向がチャネル251に変化する領域」とは、ガス分散チャネル231bの径が更に広くなる領域を言い、例えば点231e近傍の領域を呼ぶ。従って、定量的には、高さ方向において、凸部231aの上端から点231eの間に先端261aが維持されるよう設定する。このような位置にすることで、プラズマの失活を抑制すると共に、先に説明した渦巻き状の不活性ガス流にプラズマを乗せることによって、ウエハ外周へのプラズマ搬送を可能とする。
(第一ガス供給系)
第一ガス供給管243aには、上流方向から順に、第一ガス供給源243b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)243c、及び開閉弁であるバルブ243dが設けられている。
第一ガス供給管243aから、第一元素を含有するガス(以下、「第一元素含有ガス」)が、マスフローコントローラ243c、バルブ243d、上部241を介して反応ゾーン201に供給される。
第一元素含有ガスは、原料ガス、すなわち、処理ガスの一つである。ここで、第一元素は、例えばチタン(Ti)である。すなわち、第一元素含有ガスは、例えばチタン含有ガスである。なお、第一元素含有ガスは、常温常圧で固体、液体、及び気体のいずれであっても良い。第一元素含有ガスが常温常圧で液体の場合は、第一ガス供給源243bとマスフローコントローラ243cとの間に、図示しない気化器を設ければよい。ここでは気体として説明する。
第一ガス供給管243aのバルブ243dよりも下流側には、第一不活性ガス供給管246aの下流端が接続されている。第一不活性ガス供給管246aには、上流方向から順に、不活性ガス供給源246b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)246c、及び開閉弁であるバルブ246dが設けられている。
ここで、不活性ガスは、例えば、窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
主に、第一ガス供給管243a、マスフローコントローラ243c、バルブ243dにより、第一元素含有ガス供給系243(チタン含有ガス供給系、もしくは原料ガス供給部ともいう)が構成される。
また、主に、第一不活性ガス供給管246a、マスフローコントローラ246c及びバルブ246dにより第一不活性ガス供給系が構成される。なお、不活性ガス供給源246b、第一ガス供給管243aを、第一不活性ガス供給系に含めて考えてもよい。
更には、第一ガス供給源243b、第一不活性ガス供給系を、第一元素含有ガス供給系243に含めて考えてもよい。
(第二ガス供給系)
第二ガス供給管244aには、下流にリモートプラズマユニット244eが設けられている。上流には、上流方向から順に、第二ガス供給源244b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)244c、及び開閉弁であるバルブ244dが設けられている。
第二ガス供給管244aからは、第二元素を含有するガス(以下、「第二元素含有ガス」)が、マスフローコントローラ244c、バルブ244d、リモートプラズマユニット244e、上部241、チューブ261を介して、反応ゾーン201内に供給される。リモートプラズマユニット244eを通過した第二ガスはプラズマ状態に変化し、ウエハ200上に供給される。
第二元素含有ガスは、処理ガスの一つである。なお、第二元素含有ガスは、反応ガスまたは改質ガスとして考えてもよい。
ここで、第二元素含有ガスは、第一元素と異なる第二元素を含有する。第二元素は、例えば、酸素(O)、窒素(N)、炭素(C)のいずれか一つである。本実施形態では、第二元素含有ガスは、例えば窒素含有ガスであるとする。具体的には、窒素含有ガスとして、アンモニア(NH3)ガスが用いられる。
主に、第二ガス供給管244a、マスフローコントローラ244c、バルブ244dにより、第二元素含有ガス供給系244(窒素含有ガス供給系、もしくは反応ガス供給部ともいう)が構成される。
また、第二ガス供給管244aのバルブ244dよりも下流側には、第二不活性ガス供給管247aの下流端が接続されている。第二不活性ガス供給管247aには、上流方向から順に、不活性ガス供給源247b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)247c、及び開閉弁であるバルブ247dが設けられている。
第二不活性ガス供給管247aからは、不活性ガスが、マスフローコントローラ247c、バルブ247d、第二ガス供給管244a、リモートプラズマユニット244e、チューブ261を介して、反応ゾーン201内に供給される。不活性ガスは、薄膜形成工程(S104)ではキャリアガス或いは希釈ガスとして作用する。
主に、第二不活性ガス供給管247a、マスフローコントローラ247c及びバルブ247dにより第二不活性ガス供給系が構成される。なお、不活性ガス供給源247b、第二ガス供給管244a、リモートプラズマユニット244eを第二不活性ガス供給系に含めて考えてもよい。
更には、第二ガス供給源244b、リモートプラズマユニット244e、第二不活性ガス供給系を、第二元素含有ガス供給系244に含めて考えてもよい。
(第三ガス供給系)
第三ガス供給管245aには、上流方向から順に、第三ガス供給源245b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)245c、及び開閉弁であるバルブ245dが設けられている。
第三ガス供給管245aから、パージガスとしての不活性ガスが、マスフローコントローラ245c、バルブ245d、バッファ室241cを介して、渦巻き状のガス流れとなって、反応ゾーン201に供給される。
ここで、不活性ガスは、例えば、窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
主に、第三ガス供給管245a、マスフローコントローラ245c、バルブ245dにより、第三ガス供給系245(ガス供給部、もしくは不活性ガス供給部とも呼ぶ)が構成される。
第三ガス供給管245aからは、基板処理工程では、不活性ガスが、マスフローコントローラ245c、バルブ245d、を介して、反応ゾーン201内に供給される。
不活性ガス供給源245bから供給される不活性ガスは、基板処理工程では、処理容器202、ガス分散チャネル231b、上部241の上方空間をパージするパージガスとして作用する。更には、チューブ261から供給されるプラズマ状態の第二元素含有ガスをウエハ外周200bまで搬送するガスとして作用する。
(排気系)
処理容器202の雰囲気を排気する排気系は反応ゾーン201の側壁に設けられた排気孔221に接続される排気管222を有する。排気管222には、反応ゾーン201内を所定の圧力に制御する圧力制御器であるAPC(AutoPressure Controller)223が設けられる。APC223は開度調整可能な弁体(図示せず)を有し、後述するコントローラ280からの指示に応じて排気管222のコンダクタンスを調整する。排気管222においてAPC223の下流側にはバルブ224が設けられる。バルブ224の下流側にはポンプ225が接続されている。排気管222、APC223、バルブ224をまとめて排気系と呼ぶ。なお、ポンプ225を含めて排気系と呼んでも良い。
(コントローラ)
基板処理装置100は、基板処理装置100の各部の動作を制御するコントローラ280を有している。コントローラ280は、演算部281及び記憶部282を少なくとも有する。コントローラ280は、上記した各構成に接続され、上位コントローラや使用者の指示に応じて記憶部282からプログラムやレシピを呼び出し、その内容に応じて各構成の動作を制御する。
なお、コントローラ280は、専用のコンピュータとして構成してもよいし、汎用のコンピュータとして構成してもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリ(USB Flash Drive)やメモリカード等の半導体メモリ)283を用意し、外部記憶装置283を用いて汎用のコンピュータにプログラムをインストールすることにより、本実施形態に係るコントローラ280を構成することができる。また、コンピュータにプログラムを供給するための手段は、外部記憶装置283を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置283を介さずにプログラムを供給するようにしてもよい。
なお、記憶部282や外部記憶装置283は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶部282単体のみを含む場合、外部記憶装置283単体のみを含む場合、または、その両方を含む場合がある。
<基板処理工程>
次に、基板処理装置100を使用して、ウエハ200上に薄膜を形成する工程について説明する。なお、以下の説明において、基板処理装置100を構成する各部の動作はコントローラ280により制御される。
図3は、本実施形態に係る基板処理工程を示すフロー図である。図4は、図3の成膜工程の詳細を示すフロー図である。図5は、成膜工程におけるバルブ動作等を示した図である。
以下、第一元素含有ガスとして、Ti含有ガス(例えばTiCl)を用い、第二元素含有ガスとして窒素含有ガス(例えばNH)を用いて、ウエハ200上に薄膜として窒化チタン膜を形成する例について説明する。
(基板搬入・載置工程S102)
処理装置100ではサセプタ212をウエハ200の搬送位置まで下降させることにより、サセプタ212の貫通孔214にリフトピン207を貫通させる。その結果、リフトピン207が、サセプタ212表面よりも所定の高さ分だけ突出した状態となる。続いて、ゲートバルブ205を開いて搬送空間203を移載室(図示せず)と連通させる。そして、この移載室からウエハ移載機(図示せず)を用いてウエハ200を搬送空間203に搬入し、リフトピン207上にウエハ200を移載する。これにより、ウエハ200は、サセプタ212の表面から突出したリフトピン207上に水平姿勢で支持される。
処理容器202内にウエハ200を搬入したら、ウエハ移載機を処理容器202の外へ退避させ、ゲートバルブ205を閉じて処理容器202内を密閉する。その後、サセプタ212を上昇させることにより、サセプタ212に設けられた基板載置面211上にウエハ200を載置させ、さらにサセプタ212を上昇させることにより、前述した反応ゾーン201内の処理位置までウエハ200を上昇させる。
また、ウエハ200をサセプタ212の上に載置する際は、サセプタ212の内部に埋め込まれたヒータ213に電力を供給し、ウエハ200の表面が所定の温度となるよう制御される。ウエハ200の温度は、例えば室温以上500℃以下であり、好ましくは、室温以上であって400℃以下である。この際、ヒータ213の温度は、図示しない温度センサにより検出された温度情報に基づいてヒータ213への通電具合を制御することによって調整される。ヒータ213は、基板搬入・載置工程S102から後述する基板搬出工程S106まで継続して制御される。
(成膜工程S104)
次に、薄膜形成工程S104を行う。以下、図4を参照し、成膜工程S104について詳説する。なお、成膜工程S104は、異なる処理ガスを交互に供給する工程を繰り返すサイクリック処理である。
(第一の処理ガス供給工程S202)
ウエハ200を加熱して所望とする温度に達すると、バルブ243dを開くと共に、TiClガスの流量が所定の流量となるように、マスフローコントローラ243cを調整する。なお、TiClガスの供給流量は、例えば100sccm以上5000sccm以下である。
このとき、バルブ224が開とされ、APC223によって反応ゾーン201の圧力が所定の圧力となるように制御される。
更には、第三ガス供給系のバルブ245dを開き、第三ガス供給管245aからNガスを供給する。また、第一不活性ガス供給系からNガスを流してもよい。また、この工程に先立ち、第三ガス供給管245aからNガスの供給を開始していてもよい。
処理容器202に供給されたTiClガスはウエハ200上に供給される。ウエハ200の表面には、TiClガスがウエハ200の上に接触することによって「第一元素含有層」としてのチタン含有層が形成される。
チタン含有層は、例えば、反応ゾーン201内の圧力、TiClガスの流量、サセプタ217の温度等に応じて、所定の厚さ及び所定の分布で形成される。なお、ウエハ200上には、予め所定の膜が形成されていてもよい。また、ウエハ200または所定の膜には予め所定のパターンが形成されていてもよい。
TiClガスの供給を開始してから所定時間経過後、バルブ243dを閉じ、TiClガスの供給を停止する。
(パージ工程S204)
次いで、第三ガス供給管245aからNガスを供給し、反応ゾーン201のパージを行う。このときも、バルブ224は開とされてAPC223によって反応ゾーン201の圧力が所定の圧力となるように制御される。これにより、第一の処理ガス供給工程S202でウエハ200に結合できなかったTiClガスは、排気管222を介して反応ゾーン201から除去される。
反応ゾーン201のパージが終了すると、バルブ224を開としてAPC223による圧力制御を再開する。
(第二の処理ガス供給工程S206)
パージ工程S204の後、バルブ244dを開けて反応ゾーン201にプラズマ状態の窒素含有ガスの供給を開始する。本実施例では、窒素含有ガスとして、アンモニア(NH)を用いる。
このとき、窒素含有ガスの流量が所定の流量となるように、マスフローコントローラ244cを調整する。なお、窒素含有ガスの供給流量は、例えば100sccm以上5000sccm以下である。なお、窒素含有ガスとともに、第二不活性ガス供給系からキャリアガスとしてNガスを流してもよい。また、この工程においても、第三ガス供給系のバルブ245dは開とされ、第三ガス供給管245aからNガスが供給される。第三ガス供給管245から供給されたN2ガスは、チューブ261を中心として、チューブ261の外周側であって、上部241の内側に構成された内部空間241g、ガス分散チャネル231bに渦状の流れが形成される。
チューブ先端261aから排出されたプラズマ状の窒素含有ガスは、ウエハ中心200aに供給される。更に、チューブ先端261aの周囲に形成される不活性ガスの渦の流れに乗ってウエハ200の外周200bまで運ばれる。
窒素含有ガスはウエハ中心200a、ウエハ外周200b上に供給される。既に形成されているチタン含有層が窒素含有ガスによって改質されることにより、ウエハ200の上には、例えばチタン元素および窒素元素を含有する層が形成される。
このようにしてウエハ面内に均一に膜を形成することが可能となる。
改質層は、例えば、反応ゾーン201内の圧力、窒素ガスの流量、サセプタ212の温度等に応じて、所定の厚さ、所定の分布、チタン含有層に対する所定の酸素成分等の侵入深さで形成される。
所定の時間経過後、バルブ244dを閉じ、窒素含有ガスの供給を停止する。
S206においても、上記したS202と同様に、バルブ224が開とされ、APC223によって反応ゾーン201の圧力が所定の圧力となるように制御される。
(パージ工程S208)
次いで、S204と同様のパージ工程を実行する。各部の動作はS204で説明した通りであるので、ここでの説明は省略する。
(判定S210)
コントローラ280は、上記1サイクルを所定回数(n cycle)実施したか否かを判定する。
所定回数実施していないとき(S210でNoの場合)、第一の処理ガス供給工程S202、パージ工程S204、第二の処理ガス供給工程S206、パージ工程S208のサイクルを繰り返す。所定回数実施したとき(S210でYesの場合)、図4に示す処理を終了する。
図3の説明に戻ると、次いで、基板搬出工程S106を実行する。
(基板搬出工程S106)
基板搬出工程S106では、サセプタ212を下降させ、サセプタ212の表面から突出させたリフトピン207上にウエハ200を支持させる。これにより、ウエハ200は処理位置から搬送位置となる。その後、ゲートバルブ205を開き、ウエハ移載機を用いてウエハ200を処理容器202の外へ搬出する。このとき、バルブ245dを閉じ、第三ガス供給系から処理容器202内に不活性ガスを供給することを停止する。
(処理回数判定工程S108)
ウエハ200を搬出後、薄膜形成工程が所定の回数に到達したか否かを判定する。所定の回数に到達したと判断されたら、処理を終了する。
(第2実施形態)
続いて、図9を用いて第2実施形態を説明する。図9はチューブ先端261aを拡大したものである。
まず、図12を用いて比較例を説明する。矢印301はチューブ261の外側のガス(第一元素含有ガス)の流れであり、矢印302はチューブ261の内側から供給されるガス(第二元素含有ガス)の流れを表す図である。
先端303は角状であるため、第一処理ガス供給工程S202で供給された第一元素含有ガスは、チューブ261を構成する筒の外周側先端303に衝突してしまう。更には付着してしまう。また、チューブ261の先端303は角状であるため、チューブ261の内周側先端304に回り込んだガスは衝突し、そこに付着してしまう。
そのため、第二ガス供給工程S206では第二元素含有ガスを供給すると、第二元素含有ガスが先端303、304に付着した第一元素含有ガスと接触して反応し、外周側先端303上に意図しない膜を形成してしまう。形成された膜は膜密度や強度が制御されたものではないため、基板処理中に剥がれてしまい、それが膜質に悪影響を及ぼすことが考えられる。
本実施形態は上記の課題を解決するものである。以下に図9を用いて具体的な内容を説明する。
図9は、チューブ261の外周側先端、内周側先端をR形状としている。このような構造とすることによって、ガスの流れを阻害することが無いので、意図せぬ膜の形成を抑制することが可能となる。
(実施形態3)
続いて図10を用いて実施形態3を説明する。
本実施形態では、チューブ261の先端を処理ゾーン201に向かって広がるよう構成する。このような構成とすると、第二元素含有ガスが先端にそって流れるので、チューブ261の外周を流れる渦流に合流容易としている。
(実施形態4)
続いて本実施形態を、図11を用いて説明する。
図11は実施形態1のガスフロー(図5)の変形例である。第二処理ガス供給工程S206における不活性ガスの供給量が異なる。
具体的には、不活性ガスの供給量を第一処理ガス供給工程S202よりも少なくしている。
このようにすることで、プラズマに曝され活性化した第一処理ガスと、不活性ガスとの衝突確率を低くすることができ、結果プラズマの失活をより抑制することができる。
以上、本発明の種々の典型的な実施の形態として成膜技術について説明してきたが、本発明はそれらの実施の形態に限定されない。例えば、上記で例示した薄膜以外の成膜処理や、拡散処理、酸化処理、窒化処理等の他の基板処理を行う場合にも適用できる。また、本発明は、膜形成装置、エッチング装置、酸化処理装置、窒化処理装置、塗布装置、加熱装置等の他の基板処理装置にも適用できる。また、ある実施形態の構成の一部を他の実施形態の構成に置き換えることが可能であり、また、ある実施形態の構成に他の実施形態の構成を加えることも可能である。また、各実施形態の構成の一部について、他の構成の追加、削除、置換をすることも可能である。
(本発明の好ましい態様)
以下に、本発明の好ましい態様について付記する。
〔付記1〕
本発明の一態様によれば、
基板を載置する基板載置部と、
前記基板載置部の少なくとも一部と対向すると共に、中央にガス供給経路を有するチャンバ蓋と、
前記ガス供給経路と連通するガス供給構造と、
前記ガス供給構造に接続され、プラズマ生成部を有する反応ガス供給部と、
前記ガス供給構造内及び前記ガス供給経路内に設けられ、
前記反応ガス供給部に連通するチューブと、
前記ガス供給構造に接続され、前記チューブの外周側であって、前記ガス供給構造内側にガスを供給するガス供給部と、
を有する基板処理装置が提供される。
〔付記2〕
好ましくは、
前記ガス供給経路を構成する前記チャンバ蓋の内壁は、前記ガス供給構造の下面と接続する接続部から基板載置部に向かうほど広がるように構成されており、
前記チューブの先端は、前記内壁内に配置される付記1に記載の基板処理装置が提供される。
〔付記3〕
好ましくは、
前記ガス供給構造は筒形状であり、
前記反応ガス供給部は、前記筒形状の一端に接続され、
前記ガス供給部の供給管は筒形状の側面に接続される付記1または付記2に記載の基板処理装置が提供される
〔付記4〕
好ましくは、
前記筒形状には、内部に渦流を形成する渦流形成部が設けられ、前記ガス供給管は前記渦流形成部に接続される付記3記載の基板処理装置が提供される
〔付記5〕
好ましくは、
前記ガス供給構造には原料ガスを供給する原料ガス供給部が接続される付記1から付記4のうち、いずれか一つに記載の基板処理装置が提供される
〔付記6〕
好ましくは、
前記ガス供給部の供給管は、不活性ガスを供給するように構成されており、該供給管が接続される接続孔の位置は、前記原料ガス供給部の供給管が接続される接続孔の位置よりも高い位置である付記1から付記5のうち、いずれか一つに記載の基板処理装置が提供される
〔付記7〕
好ましくは、
前記原料ガスを前記ガス供給経路に供給する際は、前記原料ガス供給部のバルブを開、前記不活性ガス供給部のバルブを開、前記反応ガス供給部のバルブを閉とし、
前記反応ガスを前記ガス供給経路に供給する際は、前記原料ガス供給部のバルブを閉、前記不活性ガス供給部のバルブを開、前記反応ガス供給部のバルブを開とするよう制御する付記6に記載の基板処理装置が提供される。
〔付記8〕
好ましくは、
前記原料ガスの供給と前記反応ガスの供給は交互に行われるよう制御する付記7に記載の基板処理装置が提供される
〔付記9〕
別の形態によれば、
基板を基板載置部に載置する工程と、
前記基板載置部の少なくとも一部と対向するチャンバ蓋構造の中央に設けられたガス供給経路に挿入された反応ガス供給チューブを介して反応ガス供給部からプラズマ状の反応ガスを供給すると共に、前記チューブの外周側であって、前記ガス供給構造に接続されたガス供給部から不活性ガスを供給し、基板を処理する工程と、
を有する半導体装置の製造方法が提供される。
〔付記10〕
更に別の形態によれば、
基板を基板載置部に載置する手順と、
前記基板載置部の少なくとも一部と対向するチャンバ蓋構造の中央に設けられたガス供給経路に挿入された反応ガス供給チューブを介して反応ガス供給部からプラズマ状の反応ガスを供給すると共に、前記チューブの外周側であって、前記ガス供給構造に接続されたガス供給部から不活性ガスを供給し、基板を処理する手順と、
をコンピュータに実行させるプログラムが提供される。
〔付記11〕
更に別の形態によれば、
基板を基板載置部に載置する工程と、
前記基板載置部の少なくとも一部と対向するチャンバ蓋構造の中央に設けられたガス供給経路に挿入された反応ガス供給チューブを介して反応ガス供給部からプラズマ状の反応ガスを供給すると共に、前記ガス供給経路から前記供給チューブを中心とした渦状の不活性ガスを供給し、基板を処理する工程と、
を実行させるプログラムが格納されたコンピュータ読み取り可能な記憶媒体が提供される。
100・・・基板処理装置
200・・・ウエハ(基板)
201・・・反応ゾーン
202・・・反応容器
203・・・搬送空間
212・・・サセプタ
231・・・チャンバリッドアッセンブリ(チャンバ蓋部)
261・・・チューブ

Claims (10)

  1. 基板を載置する基板載置部と、
    前記基板載置部の少なくとも一部と対向すると共に、中央にガス供給経路を有するチャンバ蓋と、
    前記ガス供給経路と連通するガス供給構造と、
    前記ガス供給構造に接続され、プラズマ生成部を有する反応ガス供給部と、
    前記ガス供給構造内及び前記ガス供給経路内に設けられ、
    前記反応ガス供給部に連通するチューブと、
    前記ガス供給構造に接続され、前記チューブの外周側であって、前記ガス供給構造内側にガスを供給するガス供給部と、
    を有する基板処理装置。
  2. 前記ガス供給経路を構成する前記チャンバ蓋の側壁は、前記ガス供給構造の下面と接続する接続部から基板載置部に向かうほど広がるように構成されており、
    前記チューブの先端は、前記側壁内に配置される請求項1に記載の基板処理装置。
  3. 前記ガス供給構造は筒形状であり、
    前記反応ガス供給部は、前記筒形状の一端に接続され、
    前記ガス供給部の供給管は筒形状の側面に接続される請求項1または請求項2に記載の基板処理装置。
  4. 前記筒形状には、内部に渦流を形成する渦流形成部が設けられ、前記ガス供給管は前記渦流形成部に接続される請求項3記載の基板処理装置。
  5. 前記ガス供給構造には原料ガスを供給する原料ガス供給部が接続される請求項1から請求項4のうち、いずれか一項に記載の基板処理装置。
  6. 前記ガス供給部の供給管は、不活性ガスを供給するように構成されており、該供給管が接続される接続孔の位置は、前記原料ガス供給部の供給管が接続される接続孔の位置よりも高い位置である請求項1から請求項5のうち、いずれか一項に記載の基板処理装置。
  7. 前記原料ガスを前記ガス供給経路に供給する際は、前記原料ガス供給部のバルブを開、前記不活性ガス供給部のバルブを開、前記反応ガス供給部のバルブを閉とし、
    前記反応ガスを前記ガス供給経路に供給する際は、前記原料ガス供給部のバルブを閉、前記不活性ガス供給部のバルブを開、前記反応ガス供給部のバルブを開とするよう制御する請求項6に記載の基板処理装置。
  8. 前記原料ガスの供給と前記反応ガスの供給は交互に行われるよう制御する請求項7に記載の基板処理装置。
  9. 基板を基板載置部に載置する工程と、
    前記基板載置部の少なくとも一部と対向するチャンバ蓋構造の中央に設けられたガス供給経路に挿入された反応ガス供給チューブを介して反応ガス供給部からプラズマ状の反応ガスを供給すると共に、前記チューブの外周側であって、前記ガス供給構造に接続されたガス供給部から不活性ガスを供給し、基板を処理する工程と、
    を有する半導体装置の製造方法。
  10. 基板を基板載置部に載置する手順と、
    前記基板載置部の少なくとも一部と対向するチャンバ蓋構造の中央に設けられたガス供給経路に挿入された反応ガス供給チューブを介して反応ガス供給部からプラズマ状の反応ガスを供給すると共に、前記チューブの外周側であって、前記ガス供給構造に接続されたガス供給部から不活性ガスを供給し、基板を処理する手順と、
    をコンピュータに実行させるプログラム。



JP2015064840A 2015-03-26 2015-03-26 基板処理装置、半導体装置の製造方法及びプログラム Expired - Fee Related JP5961297B1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2015064840A JP5961297B1 (ja) 2015-03-26 2015-03-26 基板処理装置、半導体装置の製造方法及びプログラム
TW104143801A TWI589728B (zh) 2015-03-26 2015-12-25 Substrate processing apparatus, manufacturing method of semiconductor device, and program
CN201610025245.9A CN106024564B (zh) 2015-03-26 2016-01-14 衬底处理装置及半导体器件的制造方法
KR1020160005817A KR101846846B1 (ko) 2015-03-26 2016-01-18 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US15/004,161 US20160284517A1 (en) 2015-03-26 2016-01-22 Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015064840A JP5961297B1 (ja) 2015-03-26 2015-03-26 基板処理装置、半導体装置の製造方法及びプログラム

Publications (2)

Publication Number Publication Date
JP5961297B1 JP5961297B1 (ja) 2016-08-02
JP2016183391A true JP2016183391A (ja) 2016-10-20

Family

ID=56550479

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015064840A Expired - Fee Related JP5961297B1 (ja) 2015-03-26 2015-03-26 基板処理装置、半導体装置の製造方法及びプログラム

Country Status (5)

Country Link
US (1) US20160284517A1 (ja)
JP (1) JP5961297B1 (ja)
KR (1) KR101846846B1 (ja)
CN (1) CN106024564B (ja)
TW (1) TWI589728B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020072126A (ja) * 2018-10-29 2020-05-07 スピードファム株式会社 局所ドライエッチング装置

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2020084290A (ja) 2018-11-29 2020-06-04 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP7103271B2 (ja) 2019-02-26 2022-07-20 三菱ケミカル株式会社 積層シート
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111489948B (zh) * 2020-04-20 2023-01-17 北京北方华创微电子装备有限公司 半导体腔室及其进气结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4489041A (en) * 1983-07-06 1984-12-18 Allied Corporation Non plugging falling film plasma reactor
JP2547740B2 (ja) * 1986-08-28 1996-10-23 キヤノン株式会社 堆積膜形成法
JPH02308534A (ja) * 1989-05-24 1990-12-21 Toshiba Corp 半導体基板の薄膜形成装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
KR20010096229A (ko) * 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
JP2002093823A (ja) * 2000-09-14 2002-03-29 Tohoku Ricoh Co Ltd 薄膜形成装置
WO2003035927A2 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
KR101204614B1 (ko) * 2008-02-20 2012-11-23 도쿄엘렉트론가부시키가이샤 가스 공급 장치, 성막 장치, 및 성막 방법
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
JP2014082463A (ja) * 2012-09-27 2014-05-08 Hitachi Kokusai Electric Inc 基板処理装置、蓋体及び半導体装置の製造方法
JP5985338B2 (ja) * 2012-09-28 2016-09-06 小島プレス工業株式会社 プラズマcvd装置
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020072126A (ja) * 2018-10-29 2020-05-07 スピードファム株式会社 局所ドライエッチング装置
JP7104973B2 (ja) 2018-10-29 2022-07-22 スピードファム株式会社 局所ドライエッチング装置

Also Published As

Publication number Publication date
CN106024564A (zh) 2016-10-12
KR101846846B1 (ko) 2018-04-09
TW201702419A (zh) 2017-01-16
JP5961297B1 (ja) 2016-08-02
KR20160115687A (ko) 2016-10-06
US20160284517A1 (en) 2016-09-29
TWI589728B (zh) 2017-07-01
CN106024564B (zh) 2018-03-30

Similar Documents

Publication Publication Date Title
JP5961297B1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US9028648B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP5944429B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5792364B1 (ja) 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
JP6001131B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム
JP6339057B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム
JP5913414B2 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9732421B2 (en) Substrate processing apparatus
JP5800957B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9396930B2 (en) Substrate processing apparatus
JP5859583B2 (ja) 基板処理装置及び半導体装置の製造方法
JP6001015B2 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP2018066050A (ja) 成膜装置および成膜方法
JP2017183575A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP2019140146A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2016128593A (ja) 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
TWI726345B (zh) 半導體裝置之製造方法、基板處理裝置及程式
JP6691152B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2020059133A1 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP7351865B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
TWI835044B (zh) 基板處理裝置、半導體裝置的製造方法及電腦可讀取的記錄媒體
JP2018085399A (ja) 成膜装置および成膜方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160616

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160624

R150 Certificate of patent or registration of utility model

Ref document number: 5961297

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees