JP2013179073A - 極紫外線光源 - Google Patents

極紫外線光源 Download PDF

Info

Publication number
JP2013179073A
JP2013179073A JP2013097975A JP2013097975A JP2013179073A JP 2013179073 A JP2013179073 A JP 2013179073A JP 2013097975 A JP2013097975 A JP 2013097975A JP 2013097975 A JP2013097975 A JP 2013097975A JP 2013179073 A JP2013179073 A JP 2013179073A
Authority
JP
Japan
Prior art keywords
plasma
euv
collector
pulse
pinch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013097975A
Other languages
English (en)
Inventor
Stephan T Melnychuk
スティーブン ティー メルニチュク
William N Partlo
ウィリアム エヌ パートロ
Igor V Fomenkov
イゴアー ヴイ フォメンコヴ
I Roger Oliver
アイ ロジャー オリヴァー
Richard M Ness
リチャード エム ネス
Norbert Bowering
ノーバート ボウリング
Oleh Khodykin
オレ コーディキン
Curtis L Rettig
カーティス エル レッティグ
Gerry M Blumenstock
ゲリー エム ブルーメンストック
Timothy S Dyer
ティモシー エス ディアー
Rodney D Simmons
ロドニー ディー シモンズ
Jerzy R Hoffman
ジャージー アール ホフマン
R Mark Johnson
アール マーク ジョンソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cymer Inc
Original Assignee
Cymer Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/120,655 external-priority patent/US6744060B2/en
Priority claimed from US10/189,824 external-priority patent/US6815700B2/en
Priority claimed from US10/384,967 external-priority patent/US6904073B2/en
Application filed by Cymer Inc filed Critical Cymer Inc
Publication of JP2013179073A publication Critical patent/JP2013179073A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70166Capillary or channel elements, e.g. nested extreme ultraviolet [EUV] mirrors or shells, optical fibers or light guides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/005Optical devices external to the laser cavity, specially adapted for lasers, e.g. for homogenisation of the beam or for manipulating laser pulses, e.g. pulse shaping
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • H05H1/04Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using magnetic fields substantially generated by the discharge in the plasma
    • H05H1/06Longitudinal pinch devices

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Chemical & Material Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Electromagnetism (AREA)
  • Nanotechnology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Environmental & Geological Engineering (AREA)
  • X-Ray Techniques (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】本発明は、信頼性があり、繰り返し速度が高く、製造ラインと相性の良い高エネルギー光子源を提供する。
【解決手段】活性材料を含む非常に高温のプラズマを真空チャンバで生成する。この活性材料は、望ましい極紫外線(EUV)範囲内に輝線を有する原子である。充電コンデンサと、パルス変換器を備えた磁気圧縮回路とを具備したパルス電源は、中間焦点でのEUV光を5ワットを超える率で生成できるだけのエネルギーと電位を有する電気的パルス提供する。出願人が設計した好適な実施形態では、中間焦点における帯域内EUV光エネルギーは45ワットで、更に105.8ワットまで拡大可能である。
【選択図】図2A(21)

Description

本発明は、高エネルギー光子源に関し、より厳密には高信頼性X線及び高エネルギー紫外線源に関する。
本出願は、2003年4月7日に「極紫外線光源」という名称で出願された米国特許出願、2003年3月8日出願の米国特許出願第10/384,967号、2002年7月3日出願の同第10/189,824号、2002年4月10日出願の同第10/120,655号、2001年6月6日出願の同第09/875,719号、2001年6月6日出願の同第09/875,721号、及び2000年10月16日出願の同第09/690,084号に対する優先権を主張し、更に、2002年10月31日出願の特許出願第60/422,808号及び2002年10月18日出願の同第60/419,805号の恩典を請求するものであり、上記特許出願及び特許文献の全てを参考文献として本願に援用する。
半導体産業では、これまで以上に小型化した集積回路を印刷できるようにするリソグラフィ技術の開発が続けられている。これらのシステムには、高い信頼性、費用効率の高いスループット、並びに妥当な加工許容度を備えていなければならない。近年、集積回路製造産業では、水銀G線(436nm)及びI線(365nm)露光源から248nm及び193nmエキシマレーザー源への転換が図られている。この移行は、焦点深度の損失を最小限にしながらリソグラフィ分解能を高める必要性から起きたものである。
集積回路産業界の要求は、まもなく193nm露光源の分解能能力を超える見込みであり、従って、193nmよりもかなり短い波長の信頼できる露光源に対する必要性が生まれている。エキシマ線は157nmにあるが、この波長で十分な透過率を有し光学品質が十分に高い光学材料は入手が困難である。従って、全てを反射器で構成した画像化システムが求められることになろう。全反射器光学システムは、透過型システムよりも小さい開口数(NA)が求められる。NAが小さくなることにより発生する分解能の損失は、波長を何倍も小さくすることでしか埋め合わせすることができない。従って、光学リソグラフィの分解能を193nm又は157nmで実現されるもの以上に高めようとするのであれば、10nm乃至20nm範囲の光源が必要となる。波長が157nm未満の光の光学要素は非常に限られている。しかしながら、有効な入射反射器は入手可能であり、波長範囲が約10nmから14nmの範囲の波長の光の場合は、通常の入射角度近くで良好な反射器を多層化した構成にすればよい。(この波長範囲の光は超紫外線光として知られているスペクトル範囲内にあり、この範囲で発光するものとして軟X線がある。)以上の理由から、約13.5nmのような上記範囲内の波長の、良好で信頼性の高い光源が必要とされている。
高エネルギー紫外線及びX線源の現在最新の技術では、レーザービーム、電子又はその他の粒子で各種ターゲット材料に衝撃を与えることにより作り出したプラズマを利用している。固体ターゲットを使っているが、固体ターゲットの削摩によって生じるデブリは、製造ラインで作動させるためのシステムの各種要素に有害な影響を及ぼす。デブリ問題に対して提案されている解決策としては、デブリが光学機器に付着しないように、凍結液体又は液化又は凍結したガスのターゲットを使用するというものである。しかしながら、上記システムは何れも、製造ラインでの作動に実用的であると未だ証明されていない。
X線及び高エネルギー紫外線放射線がプラズマピンチ作用で作り出せることは、何年も前から知られている。プラズマピンチでは、幾つか考えられるシステム構成の内の1つでプラズマに電流を通し、電流を流すことにより発生する磁界でプラズマ中の電子とイオンを加速して十分なエネルギーを有する小さな塊とし、これによって外側の電子がイオンから実質的にはがれて、その結果X線及び高エネルギー紫外線放射線が発生する。プラズマの集束又はピンチングにより高エネルギー放射線を作り出すための各種先行技術については、米国特許第6,452,199号の発明の背景の項に記載されている。
代表的な先行技術によるプラズマ集束装置では、近接X線リソグラフィに適した大量の放射線を生成できるが、パルス当たりの電気エネルギー所要量が大きいことにより繰り返し速度が限られ、寿命の短い内部要素であるといえる。上記システムで貯蔵される電気エネルギー所要量は1kJから100kJの範囲である。繰り返し速度は、通常、毎秒数パルスを超えなかった。
必要とされているのは、所望波長範囲内の高エネルギー紫外線X線放射線を生成し、集めて、方向決めするためのシステムであって、高い繰り返し速度で確実に作動することができ、デブリ形成に伴う先行技術での問題を回避できる、製造ライン信頼性の高いシステムである。
2003年4月7日出願米国特許出願「極紫外線光源」 米国特許出願第10/384,967号 米国特許出願第10/189,824号 米国特許出願第10/120,655号 米国特許出願第09/875,719号 米国特許出願第09/875,721号 米国特許出願第09/690,084号 特許出願第60/422,808号 特許出願第60/419,805号 米国特許第6,452,199号 米国特許第5,142,166号 米国特許第5,668,848号 米国特許第5,539,764号 米国特許第5,434,875号 米国特許出願第10/187,366号 米国特許第6,128,323号 米国特許第6,330,261号 米国特許第6,442,181号 米国特許第6,477,193号 米国特許出願第09/854,097号 米国特許出願第09/943,343号 米国特許出願第10/012,002号 米国特許出願第10/036,676号 米国特許第6,299,958号
G.Decker他、"Experiments Solving the Polarity Riddle of the Plasma Focus"、 Physics Letters、 第8巻、8号、1082年6月7日 M.Chaker他、J.Appl.Phys.63,892,1988 R.Popil他、Phys.Rev.A35,3874(1987) F.O'Neil他,Proc.SPIE831,230(1987)
本発明は、信頼性があり、繰り返し速度が高く、製造ラインと相性の良い高エネルギー光子源を提供する。活性材料を含む非常に高温のプラズマを真空チャンバで生成する。この活性材料は、望ましい極紫外線(EUV)波長範囲内に輝線を有する原子である。充電コンデンサと、パルス変換器を備えた磁気圧縮回路とを具備したパルス電源は、中間焦点でのEUV光を継続運転で5ワットを超えバースト運転で20ワットを超える率で生成できるだけのエネルギーと電位を有する電気的パルス提供する。出願人が設計した好適な実施形態では、中間焦点における帯域内EUV光エネルギーは45ワットで、更に105.8ワットまで拡大可能である。
好適な実施形態では、高エネルギー光子源は、同軸電極を備えた高密度プラズマ集束装置である。これら電極は同軸となるように構成されている。中央電極は中空にして、活性ガスが中空電極から導入されるのが望ましい。そうすると、スペクトル線源の最適化並びにバッファガスの分離最適化を行うことができる。好適な実施形態では、中央電極は、中空カソードとして機能できるように高い負の電気的パルスで駆動される。好適な実施形態は、キャパシタンス値と、アノード長及び形状の最適化を提示しており、好適な活性ガス送出システムを開示している。中央電極を冷却するための特別な技法を説明している。或る例では、中空電極の壁に水を循環させている。別の実施形態では、中央電極を冷却するためのヒートパイプ冷却システムを説明している。
外部反射放射線コレクタ・ディレクタは、プラズマピンチで生成された放射線を集めて、その放射線を望ましい方向に導く。反射器材料としては、モリブデン、パラジウム、ルテニウム、ロジウム、金、又はタングステン等を選択するのが良好である。好適な実施例では、活性材料はキセノン、リチウム蒸気、錫蒸気であり、バッファガスはヘリウムで、放射線コレクタは、俯角入射反射率が高い材料で作られるか、そのような材料でコーティングされている。この他の可能な活性材料について説明する。
好適な実施形態では、バッファガスはヘリウム又はアルゴンである。リチウム蒸気は、同軸電極構成の中央電極の軸に沿う孔の中に配置された固体又は液体リチウムを気化させて生成することができる。アルカリ金属はアミン類に溶けることから、リチウムを溶液として準備してもよい。アンモニア(NH3)のリチウム溶液は良い候補である。リチウムは、プレイオン化放電がリチウム蒸気の生成とプレイオン化という二重の目的を果たすスパッタリングで生成してもよい。好適な実施形態では、デブリは、ピンチ位置から出て放射線コレクタ・ディレクタに向かう光線と整列している表面を有する円錐形の入れ子型デブリコレクタに集められる。反射放射線コレクタ・ディレクタと円錐形入れ子型デブリコレクタは、一体で製作してもよいし、別々に製造して、互いに及びピンチ位置に対して整列させてもよい。
出願人らが実際に製作し試験した試作装置は、パルス当たり貯蔵電気エネルギー約10Jの電気的パルス(正又は負の何れか)を、2πステラジアン内に放射される約50mJの帯域内13.5nm放射線に変換する。従って、上記試験は変換効率が約0.5%であることを実証し、出願人らは、50mJの13.5nm放射線の約20パーセントを集めることができれば実証済みのパルス当たり収集エネルギーは約10mJになると推測している。出願人らは、1000Hz継続運転と4000Hzのショートバースト運転を実施した。この様にして、10ワット連続出力と、40ワットバースト出力を実証した。出願人らの設計した収集技法を使えば、このエネルギーの約半分をプラズマ源から離れた中間焦点に届けることができる。従って、中間焦点における帯域内EUV光は、継続運転では少なくとも5ワット、バースト運転では少なくとも20ワットになる。出願人らは、本願に記載の技法を使えば、5000Hz以上の繰り返し速度で60ワット程度の出力を提供できることも示した。2000Hzでは、測定されたパルス対パルスのエネルギー安定性は(標準偏差で)約9.4%となり、ドロップアウトパルスは観測されなかった。この試作DPF装置の電気回路と動作については、安定性、効率、並びに性能の改善を目的とした幾つかの好適な実施形態の説明と一緒に提示していく。
他の実施形態では、プラズマは、従来型Zピンチ装置、中空カソードZピンチ、又は毛管放電など他のプラズマピンチ装置で生成され、或いはパルス式ガス放電レーザービームで生成される。パルスパワー又は上記各供給源は、本願に記載のパルスパワーシステムで生成され、それぞれにおいて、EUV光は、本願に記載の1つ又は複数の技法を用いて、生成され、収集され、且つ中間焦点に届けられるのが望ましい。
本発明は、EUVリソグラフィを、Mo/Si又はMo/Beミラーシステムの反射帯域に良好に整合した放射特性を備えた信頼できる高輝度EUV光源で、実用的に実施できるようにする。出願人らによる試験は、中央電極を中空にしてカソードとして構成するという改良された電極構成を実証している。この構成の場合、中空カソードは自身でプレイオン化するので、専用のプレイオン化は不要である。
EUV及び軟X線源用の電源として有用なパルスパワーシステムの電気配線図である。 パルス変換器の構造要素を示す。 テストデータを示す。 テストデータを示す。 逆極性パルス電源を示す。 高密度プラズマ集束EUV装置の電気的特性を示す。 プラズマピンチ試作EUV装置の断面図である。 プラズマピンチ試作EUV装置の断面図である。 真空チャンバ付の試作品を示す。 フロー冷却機器を示す。 出力に及ぼすフローの効果を示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 性能データを示す。 具体的なDPF構成を示す。 従来型Zピンチ装置の特性を示す。 中空カソードZピンチ装置の特性を示す。 毛管放電装置の特性を示す。 キセノンスペクトルを示す。 キセノンスペクトルを示す。 レーザー生成プラズマシステムの特性を示す。 レーザー生成プラズマシステムの特性を示す。 レーザー生成プラズマシステムの特性を示す。 ハイブリッドEUVシステムを示す。 デブリコレクタの製作法を示す。 デブリコレクタの製作法を示す。 デブリコレクタの製作法を示す。 第2デブリコレクタの構造を示す。 第2デブリコレクタの構造を示す。 第3デブリコレクタの構造を示す。 第3デブリコレクタの構造を示す。 第3デブリコレクタの構造を示す。 MoSiコーティングの反射率に対する13.5nmリチウムピークを示す。 ハイプロボリックコレクタの斜視図である。 楕円形コレクタによって生成されたEUV光線の一部を示す。 ハイプロボリックコレクタによって生成されたEUV光線の一部を示す。 放射線コレクタとデブリコレクタの組み合わせを示す。 放射線コレクタとデブリコレクタの組み合わせを示す。 放射線コレクタとデブリコレクタの組み合わせを示す。 放射線コレクタとデブリコレクタの組み合わせを示す。 キセノンスペクトルと多層ミラースペクトルを示す。 13.5nm紫外線放射に関する各種材料の反射率を示す。 コレクタ設計を示す。 コレクタ設計を示す。 コレクタ設計を示す。 コレクタ設計を示す。 図12は、ソースガスと作動ガスを導入するための技法を示す図である。 アノード電圧とEUV強度を示す時間図である。 プラズマピンチに関する各種中央電極設計の効果を示す。 プラズマピンチに関する各種中央電極設計の効果を示す。 プラズマピンチに関する各種中央電極設計の効果を示す。 プラズマピンチに関する各種中央電極設計の効果を示す。 RFエネルギーを使用してリチウム蒸気ソースガスを操作するための技法を示す図である。 好適なDPF装置のアノードのヒートパイプ冷却技法を示す。 ガス制御技法を示す。 好適な実施形態の真空容器内で活性ガスとバッファガスを制御するための技法を示す。 好適な実施形態の真空容器内で活性ガスとバッファガスを制御するための技法を示す。 好適な実施形態の真空容器内で活性ガスとバッファガスを制御するための技法を示す。 好適な実施形態の真空容器内で活性ガスとバッファガスを制御するための技法を示す。 タンデム型楕円ミラー配置を示す。 2つの焦点のすぐ下流におけるEUVプロフィールの形状を示す。 2つの焦点のすぐ下流におけるEUVプロフィールの形状を示す。 2つの焦点のすぐ下流におけるEUVプロフィールの形状を示す。 電極水冷の技法を示す。 電極水冷の技法を示す。 電極水冷の技法を示す。 電極水冷の技法を示す。 電極設計を示す。 電極設計を示す。 電極腐食を低減するための技法を示す。 電極設計を示す。 電極設計を示す。 保守技法を示す。 保守技法を示す。 ピンチを制御するための磁石の使用を示す。 ピンチを制御するための磁石の使用を示す。 パルス形状を示す。 パルス形状を示す。 パルス形状を示す。 パルス形状を示す。 プレイオン化技法を示す。 プレイオン化ターニングの効果を示す。 高密度プラズマ集束の利点を示す。
高温プラズマ
プラズマからスペクトル範囲13−14nmの光を生成するには、摂氏数千度程度の温度に対応する非常に高温のプラズマが必要である。上記温度のプラズマは、非常に高いパワー(非常に短いパルス)のレーザービーム又は高いエネルギーの電子ビームを金属ターゲットの表面に集束することにより作り出すことができる。プラズマを集束又はピンチする幾つかの特定の放電技法の何れかを使って、電子放電でガス中に非常に高温のプラズマを生成することもできる。これらの技法には、(1)高密度プラズマ集束技法、(2)標準Zピンチ技法、(3)中空カソードZピンチ、及び(4)毛管放電技法が含まれる。上記技法は、全て以下で詳細に論じる。集積回路制作用のリソグラフィ光源として使用する場合、光源と光源の電源装置は、膨大なパルスの間、連続的に確実に絶え間なく作動可能でなくてはならない。これは、リソグラフィ機と付帯する製造ラインが極めて高価であり、予期せぬ故障時間が発生すれば時間当たり数十万ドルの損失となりかねないからである。
12−14nmEUVスペクトル線用の原子ソース
本明細書の発明の背景の項で述べたように、波長範囲約10から14nmで反射率約70%以上の良好なミラーが入手可能である。これらのミラーは、通常、12から14nm範囲内のより狭いスペクトル範囲に限って上記のような高い値の反射率を提供する。例えば、図11Aに示すミラーは、約13.2から13.8nmのスペクトル範囲に亘って約70%の反射率を提供する。このミラーは、0.5nmのFWHM帯域幅では、13.5nmで約0.7の反射率を有すると記述できる。これらミラーは、将来的な集積回路リソグラフィ用のリソグラフィ機として効果的に利用できる。下に説明するプラズマ生成装置は、図2Aから図2Dに示すものも含めて、摂氏数千度程度の極めて高い温度でスポットプラズマを生成するが、発する光のスペクトルは非常に広い範囲に及んで広がる。光を約13−14nmという望ましい範囲内で生成するには、高温のスポットプラズマは、スペクトル線が13−14nm範囲の原子ターゲット材料を含んでいなくてはならない。キセノン、リチウム、錫を含め、幾つかの見込みのあるターゲット材料が知られている。ターゲット材料の最適な選択には、利用可能なスペクトル、プラズマエネルギー対所望スペクトル内のエネルギーの変換効率、ターゲットをプラズマ領域に注入する難度、及びデブリ問題に関係するトレードオフが関わる。上記問題に対処する好適なターゲット及び技法の幾つかについて下に論じる。(読者には、全ての元素は高温でスペクトル線を生成すること、及びこれらの線は広く資料化されているので、他の波長の光が望ましい場合は、プラズマ内で加熱される際に対象波長で良好なスペクトル線を生成する適したターゲット材料を求めて文献を探すという比較的単純な作業となることが理解頂けるであろう。)
キセノン
キセノンは、好適な原子ターゲットである。キセノンは希ガスなので、デブリ問題は存在しない。キセノンは、図3A、3Bに示すように、13から14nm範囲内に比較的良好なスペクトル線を有している。図11Aは、出願人が測定したEUV Xeスペクトルを示す。図3Aは、測定された単一パルスのスペクトルを示している。図3Bは、計算された理論上のXeスペクトルを示す。キセノンは、放電チャンバ内でバッファガスの構成要素として添加してもよいし、放電又はピンチ領域での濃度が高くなるようにその領域に接近して注入してもよい。また、原子濃度がプラズマ内で非常に高くなるように、沸点以下に冷却して液体又は固体として放電又はピンチ領域に注入してもよい。ある種のキセノン化合物(キセノン・オキシフルオライドなど)も、良好なターゲット材料である。
リチウム
リチウムも、可能性のあるターゲット材料としてよく知られている。リチウムは標準温度では固体なので、デブリ問題がある。また、原子状のリチウムを放電又はピンチ領域に加える際には特別な技法を考案せねばならない。それら技法の幾つかについては、親特許出願並びに先行技術文献に記載されているが、以下にその他の技法を説明する。リチウムは固体、液体、又は蒸気としてチャンバ内に注入することができる。

錫も、所望範囲に或る程度強いスペクトル線を有するので好適なターゲット材料といえる。しかしながら、リチウムのように、錫は標準温度では固体であり、光学表面に付着する可能性があるため、やはりデブリ問題を引き起こす。
パルスパワーシステム
電気回路
長寿命で信頼性の高いパルスパワーの必要性
短い高電圧パルスを供給して上記装置内に放電を起こすものとして、先行技術によるパルスパワー供給システムが幾つか知られている。しかしながら、それら先行技術による電源装置には、集積回路リソグラフィ生産に必要な高い繰り返し速度、高出力長寿命、及び信頼性を提供するための信頼性と制御特性を備えているものはない。しかしながら、出願人らは、エキシマレーザー光源用として出願人らが開発した技法に部分的に依存するパルスパワーシステムを構築し試験した。248nm及び193nmの光を生成するこれらエキシマレーザーは、集積回路製作用の光源として現在広く使用されている。第4世代プラズマ集束装置の一部として、出願人らが構築し試験したEUV装置の長寿命高信頼性パルスパワーシステムについて次の節で説明する。
図1、及び場合によっては図1A、2A、2Bを参照しながら、以下この好適なパルスパワーシステムの電気回路図を説明する。
従来型の約700Vの直流電源装置400を使って、事業用208ボルト3相電源からのAC電力を、約700Vの直流50amp電力に変換する。電源装置400は、共振充電装置402に電力を供給する。電源装置400は、大容量の1550μFコンデンサバンクC−1を充電する。外部トリガ信号からコマンドが出ると、共振充電器は、コマンド−充電スイッチS1を閉じて充電サイクルを開始する。一旦スイッチが閉じられると、共振回路が、C−1コンデンサ、充電インダクタL1、及びソリッドパルスパワーシステム(SSPPS)404の一部を構成するC0コンデンサバンクで形成される。従って、電流は、C−1からL1インダクタを通りC0に放電し始め、当該キャパシタンスを充電する。C−1のキャパシタンスはC0のキャパシタンスよりも遙かに大きいので、この共振充電プロセス中にC0の電圧はC−1の初期電圧の約2倍にもなる。充電電流パルスは半正弦波形状をしており、C0の電圧は「1−余弦」波形に似ている。
C0の末端電圧を制御するために幾つかの動作が行われる。第1に、コマンド−充電スイッチS1は、標準充電サイクル中はいつでも開くことができる。そうすると、電流はC−1から流れるのを止めるが、充電インダクタに既に立ち上がっていた電流は、フリーホイーリングダイオードD3を通ってC0に流れ込む。これには、エネルギーがそれ以上C−1からC0に移動するのを止める効果がある。充電インダクタL1に残ったエネルギー(相当な量になるはず)だけがC0に移動し続け高電圧になるまでC0を充電する。
更に、充電インダクタを跨ぐデキューイングスイッチS2を閉じ、効果的に充電インダクタを短絡し、共振回路を「デキューイング」することができる。これにより、共振回路からインダクタが基本的に切り離され、インダクタの電流がそれ以上C0を充電し続けないようになる。次いでインダクタの電流は、ロードから分路して、充電インダクタL1、デキューイングスイッチS2、及びデキューイングダイオードD4で構成されるループに閉じ込められる。IGBTは、普通に逆電流を伝導する装置に含まれている逆非並列ダイオードを有しているので、ダイオードD4が回路に含まれている。その結果、ダイオードD4は、そうでなければ充電サイクルの間に充電インダクタを迂回することになる逆電流を遮断する。最後に、C0の電圧を非常に細かく制御するために、充電サイクルが完全に終了すると、「ブリードダウン」又はシャントスイッチ並びに直列抵抗器(この好適な実施形態ではどちらも図示せず)を使って、C0からエネルギーを放電することができる。
DC電源装置は、208V、90A、AC入力、800V、50A、DC出力に調整された電圧電源装置であり、Universal Voltranics、Lambda/EMI、Kaiser Systems、Sorensenなどのメーカーから提供されている。第2の実施形態では、システム用の合計電圧、電流、平均電力要件を提供するために直列及び/又は並列に接続された多数の低電力電源装置を使用することができる。共振充電器402のC−1コンデンサは、2つの450V直流、3100μFの電解コンデンサを直列に接続した構成である。合成キャパシタンスは900Vで評価すると1550μFであり、典型的な700−800V作動範囲に対して十分な余裕を提供している。これらコンデンサは、Sprague、Mallory、Aerovoxなどのメーカーから入手することができる。本実施形態のコマンド充電スイッチS1及び出力直列スイッチS3は、1200V、300AのIGBTスイッチである。スイッチの実際の部品番号は、PowerexのCM300HA−24Hである。デキューイングスイッチS2は、1700V、400AのIGBTスイッチであり、これもPwerexから市販されている部品番号CM400HA−34Hである。充電インダクタL1は、環状の50対50%NiFeテープを巻いた芯に、1/8インチのエアギャップ2箇所を設けて形成されたLitz線の平行巻き線2セット(各20巻き)を備え、合成インダクタンスが約140μHとなるように作られた特注のインダクタである。National Arnoldが専用の芯を提供している。他の実施形態では、Molypermaloy、Metglasなどを含む芯用の異なる磁性材料を利用することができる。直列、デキューイングのフリーホイーリングダイオードは、全て、Powerex製の部品番号R6221430PSの1400V、300Aダイオードである。
共振充電器402がC0を充電してしまうと、共振充電器の制御装置(図示せず)により、IGBTスイッチS4が閉じるようトリガするトリガが生成される。概略図では(分かり易いように)1つしか示していないが、S4は、C0をC1に放電するのに使用される8つの並列IGBTで構成されている。C0コンデンサからの電流は、次いでIGBTを通して放電され、第1磁気スイッチLS1に入る。この磁気スイッチの設計では、8つの並列IGBT全てが、放電回路内に実質的に電流が立ち上がる前に、完全にオンになる(即ち、閉じる)ことができるだけのボルト−秒が与えられている。閉じた後、主電流パルスが生成され、これを使ってエネルギーがC0からC1に伝達される。C0からC1への伝達時間は、通常は5μs程度であり、LS1の飽和インダクタンスは約230nHである。C1の電圧が所望電圧に完全に到達すると、第2磁気スイッチLS2の電圧−秒が終わって、当該スイッチは飽和し、C1のエネルギーを1:4パルス変成器406に伝達するが、これについては下で更に詳しく説明する。変成器は、基本的には、並列に接続された3つの1巻き一次「巻線」と、1つの二次「巻線」で構成されている。二次インダクタは、一次の高電圧端末に結び付けられており、その結果、ステップアップ比率は、自動変成器構成での1:3に代わり1:4となる。二次「巻き線」は、次にC2コンデンサバンクに結び付けられ、これが(パルス変成器を介する)C1からのエネルギー伝達によって充電される。C1からC2への伝達時間は約500nsで、LS2の飽和インダクタンスは約2.3nHである。C2に電圧が立ち上がると、第3磁気スイッチLS3のボルト−秒の積が達成され、これも飽和して、C2の電圧を図14A、14Bに示すアノード8aに伝達する。LS3の飽和インダクタンスは約1.5nHである。
3つの磁気スイッチを正しくバイアスするために、図1に408で示すバイアス回路も使用される。バイアス電源装置V1からの電流は、磁気スイッチLS3を通過する。電流は次いで分かれて、その一部はバイアスインダクタL5を通過してバイアス電源装置V1に戻る。残りの電流は、パルス変成器二次巻線を通過し、次いで磁気スイッチLS2とLS1並びにインダクタL3を通過して、バイアス電源装置V1に戻る。バイアスインダクタL2は、電流から、パルス変成器一次巻線を通って大地に至り電源装置へ戻る経路を提供する。バイアスインダクタL3とL5は、バイアス電源装置V1が(バイアス接続が形成されているSSPPS内で生成される電位とは反対の)大地電位に近接して作動することから、SSPPSのパルスの間に電圧絶縁も提供する。
C0、C1、及びC2キャパシタンスは、多数の並列ポリプロピレンフィルムコンデンサを印刷回路板に肉厚(6−10オンス)の銅めっきで取り付けて構成されている。印刷回路板は、4枚の板で、高電圧及び接地接続の両方の円筒形バスを供給する円筒形コンデンサデッキを構成した楔形状をしている。この様にして、パルス圧縮とDPF自体のプラズマピンチの安定性との両方にとって重要な低インダクタンス接続が形成される。C0とC1の合計キャパシタンスは、各々21.6μFであるが、C2の合計キャパシタンスは1.33μFである。C0とC1のコンデンサは、0.1μF、1600Vのコンデンサで、ドイツのWima又はノースカロライナ州のVishay Roedersteinから入手できる。C2キャパシタンスは、二次パルス変成器の電圧が約5kVなので、3つのコンデンサ部分を直列に積み重ねて、全体の電圧等級を実現できるように構成されている。C2コンデンサは、0.01μF、2000V直流要素であり、これもWima又はVishay Roedersteinから入手できる。SSPPSスイッチは、1400V、1000AのIGBTスイッチである。実際の部品番号はPowerexのCM1000HA−28Hである。先に説明したように、8つの並列IGBTスイッチを使ってC0をC1に放電する。SSPPS直列ダイオードは、全て1400V、300Aのダイオードであり、Powerexの部品番号R6221430である。それぞれのIGBTスイッチに2つのダイオードが使用され、合計16個の並列装置を構成する。
磁気スイッチLS1は、環状のフェライト芯にLitz線の並列巻線16セット(各6巻き)を設けて構成された特注インダクタである。この特定の芯は、ニュージャージー州のCeramic Magneticsにより提供され、CN−20フェライト材料で形成されている。環状体は、0.5インチ厚で、内径5.0インチ、外径8.0インチである。磁気スイッチLS2は、1巻きの環状インダクタである。磁気芯は、8.875インチ外径のマンドレルに、Honeywell製の幅2インチ厚さ0.7ミルの2605−S3AのMetglasを使って、厚さ0.1ミルのMylarを層の間に巻きつけて、外径を10.94インチになるようテープ巻きしたものである。磁気スイッチLS3も、1巻き環状インダクタである。磁気芯は、9.5インチ外径のマンドレル上に、Honeywell製の幅1インチ厚さ0.7ミルの2605−S3AのMetglasを使って、厚さ0.1ミルのMylarを層の間に巻きつけて、外径を10.94インチになるようテープ巻きしたものである。
パルス変成器を406で示すが、これも図1Aに示すように3つの変圧器芯を有している。3つの変圧器芯は、それぞれ、12.8インチ外径のマンドレル422に、Honeywell製の幅1インチ厚さ0.7ミルの2605−S3AのMetglasθを使って、厚さ0.1ミルのMylarを層の間に巻きつけて、外径を14.65インチになるようテープ巻きしたものである。3つの芯418は、それぞれリング状で、内径12.8インチ、外径約14インチ、高さ1インチである。図1Aは、3つの芯と一次及び二次「巻線」の物理的配置を示す軸断面図である。一次巻線は、それぞれ実際には、マンドレル422と棒状スペーサ424にボルト留めされた2つの円形リング420Aと420Bで形成されている。二次「巻線」は、48個の円周状に間隔を空けて配置されたボルト426で構成されている。変成器は、米国特許第5,142,166号に記載されている線形加速器の原理と同じ原理で作動する。3つの一次「巻線」の高圧電流パルスは、二次「巻線」に一次巻線と略同じ電圧を誘起する。その結果、二次巻線(即ち、ロッド426)には、一次電圧パルスの3倍に等しい電圧が生成される。しかし、二次巻線の低圧側は一次巻線に繋がれているので、この「自動変成器」構造では4倍変圧が行われる。
バイアスインダクタL3及びL4は、共に、モリパーマロイ磁気芯に巻き付けられた環状インダクタである。この芯の寸法は、高さ0.8インチ、内径3.094インチ、外径5.218インチである。この芯は、Group Arnold製の部品番号a−430026−2である。インダクタL3は、環状体に12AWG線を90巻きして〜7.3mHのインダクタンスとしたもので、L4は、環状体に12AWG線を140巻きして〜18mHのインダクタンスとしたものである。バイアスインダクタL6は、12AWG線を16巻して直径6インチにしただけである。バイアスインダクタL4は、12AWG線を30巻して直径6インチにしたものである。バイアスインダクタL2は、12AWG線を8巻して直径6インチにしたものである。抵抗器R1は、20個の平行抵抗器の列であり、それぞれが27Ω、2Wの炭素組成抵抗器である。
極性
本発明の好適な実施形態では、図1に示す電気回路は、図2、2B1、2B2に示す中央電極8Aに正の高電圧パルスを提供する。各初期パルスの各部分における電流の流れる方向を、変成器406の一次側及び二次側と電極の間で、それぞれ矢印409A、409B、409Cで示している。(読者は、電子の流れる方向が電流の流れる方向と逆であることに留意されたい。)読者は、各パルスの後半部分では、図1Bの409Dで示す軌跡で表されるように電流は実際に反転しており、C2の電圧は約+4kVに上がり、次いで約ゼロに上がる。
極性逆転
先行技術による高密度プラズマ集束装置では、通常、中央電極をアノードとし、周囲の電極をカソードとして構成されている。従って、図2Bに示す実施形態の電極の極性は、この従来の技法に準拠したものである。電極の極性を逆転させることは従来の技術でも既知であるが、通常は性能を実質的に低下させる結果となっている。(例えば、G.Decker他”Experiments Solving the Polarity Riddle of the Plasma Focus”、 Physics Letters、 第8巻、8号、1082年6月7日、を参照。)
出願人は、本発明の好適な実施形態において、高密度プラズマ集束装置の電極極性を逆転させることによって優れた性能を実証した。これを行うにあたり、出願人は、図1に示す回路に変更を加えて図1Dに示す回路を作った。図1の回路の基本設計により、この仕事は比較的容易になった。直流電源装置400の接続を切り替えると、スイッチS1、S2、S3、S4は逆転し、ダイオードD1、D2、D3、D4も逆転した。バイアス電源装置V1の極性も逆転した。結果的に、各パルスの初期電流の流れは、図1Dの409A、409B、409Cに示す方向となった。従って、図2B2を含む各図に示す中央電極8Aは、初めは負に充電され、この実施形態の初期電流の流れは接地電極8Bから中央電極8Aに向かう。電子の流れは逆方向、即ち中央電極8Aから周囲の電極8Bに向かう。極性を逆転させる別の技法は、パルス変成器の設計を変更して、変成器の「オンツー」態様をなくすことである。即ち、低電圧側を一次高電圧の代わりに大地に接続することである。こうすると、極性は、パルス変成器の二次リード線を単に変えるだけで逆転させることができる。これは、無論、この場合には、電圧は4倍ではなくて3倍にしか増大しないことを意味する。しかし、これを補償するために、別の一次部分を加えてもよい。
出願人の実験は、極性の変化によりもたらされた意外な改良点を実証している。重要な改良は、プレイオン化要件が大幅に縮小され、完全に省くこともできるという点である。出願人らは、この改良された性能は、図2Aに示すように電極8Aの上面の中空部分によりもたらされる中空カソード効果によるものであると確信している。各種条件下での出願人らの測定によると、ピンチの品質は、正の中央電極極性により作り出されるピンチよりも良好である。出願人らは、EUV出力の増加は約2倍までであろうと推定している。
エネルギーの回収
全体的な効率を上げるために、この第4世代高密度プラズマ集束装置は、回路の放電部分から反射された電気的パルスエネルギーのパルス対パルスに基づくエネルギー回収を提供する。エネルギー回収は、図1を参照しながら下に説明するように達成される。
放電後、C2は負に駆動される。これが起きるとき、LS2は、C1からC2への電流の流れに関しては既に飽和状態になっている。従って、装置内にエネルギーを呼び込むのではなく(これは電極腐食を引き起こし易い)、LS2の飽和状態によって、C2の逆電荷が共振的にC1に戻されることになる。この移動は、LS2を通り電流が継続的に順方向に進むことにより達成される。C2からC1への電荷の移動の後、C1はC0(この時、略大地電位にある)に比べ負の電位を有し、(LS2の場合と同じように)LS1は、丁度発生したパルスの間の大きな電流の流れのため、引き続き順方向伝導となる。その結果、電流はC0からC1に流れ、C1の電位は接地電位程度まで上がり、C0に負の電位を形成する。
読者は、このC0への逆エネルギー伝達は、全ての又は実質的に全てのエネルギーがC0上に回収されるまで、全ての可飽和インダクタ(LS1、LS2、及びLS3)が順方向伝導のままとなる場合のみ可能であることに留意されたい。消耗エネルギーが伝播してC0に戻った後、C0は初期に貯まっていた電荷に対して負となる。この時点で、スイッチS4は、パルスパワー制御により開いている。インダクタL1と、大地に接続された半導体ダイオードD3とを備えている反転回路は、共振フリーホイーリングの結果としてC0の極性を反転させる(即ち、ダイオードD3によりインダクタL1の電流に逆らってクランプされ、エネルギーはC0の部分再充電により回収されるという最終的な結果になる、L1−C0回路の半サイクルリンギング)。従って、そうでなければ電極の腐食に寄与するはずのエネルギーは、回収され、後続のパルスの充電要件を低減する。
出力スイッチの重要性
図1及び図1Dに示すように、本発明で説明するパルスパワーシステムには、幾つかの機能を実行する出力スイッチがある。このスイッチ、図ではLS3であるが、我々が磁気スイッチと呼ぶ可飽和インダクタである。上で説明したように、スイッチにはバイアス回路408によりバイアスが掛けられるので、最初は、各パルスの開始時に、インダクタが飽和するまで電流を遅らせ、インダクタが飽和すると、約100ナノ秒の間電流が流れるようにして、その後、バイアス電流は(例えば)5kHzで約200マイクロ秒後に来る次のパルスの開始に先立ってスイッチに再びバイアスを掛ける。このスイッチは、高繰り返し速度でソースを正しく作動させるために非常に重要である。EUVソースにはこのようなスイッチなしで開発されたものもあるが、それらが高繰り返し速度で作動すると出力エネルギーが不規則になりかねない。それらには、エネルギー貯蔵コンデンサとEUVソースロードの間にスイッチは存在しない。問題は、ソースロードが、最後のパルスから、次のパルスの準備でエネルギー貯蔵コンデンサに電圧が掛けられる時までの、短時間では完全には回復できないということである。5kHzの繰り返し速度では、出力パルスの間には200μsしかない。他の多くのソース設計では、このパルス間の時間が非常に短いことが、エネルギー貯蔵コンデンサの充電に必要となっている。従って、最後のパルス生成とコンデンサ(及び、2つの間を分離する出力スイッチがないのでロードも含める)への初期電圧印加の間は更に短くなる可能性すらある。この時間が、最後のパルスからのプラズマが冷却して回復するのに短か過ぎるようになると、問題となる(次のパルスを予測した電圧印加が遅れる)。その結果、回復が不十分であると、通常より低い電圧で早過ぎる時期にソースが再度停止する。停止プロセスは本質的には統計的なものなので、停止電圧はばらつきが大きく、ソース出力EUVエネルギーレベルの変動が大きくなる。これは、エネルギー安定性と線量制御がプロセス制御にとって非常に重要なパラメータであることから、リソグラフィ用途に重大な問題を引き起こす。
ここに説明する本発明の出力スイッチLS3の利点は、過早ロード停止という問題をなくすのに役立つ幾つかの機能を実行することである。通常のパルス生成では、LS3スイッチは、磁気スイッチ兼ダイオードとして働き、ロードを通って電流が逆流するのを防止する。その結果、ロードに吸収されないエネルギーは、全て初期貯蔵コンデンサC0に戻され、ここで、エネルギーは回収され次のパルスでの使用に備えて貯蔵される(先に、エネルギー回収の節で説明した通り)。このように、エネルギーは、主パルス生成後迅速にロードから取り除かれるので、最終的に且つ完全にロードプラズマとして消散するまで発振し続けることはない。これは、エネルギーが堆積してロードプラズマになるのを抑制し、主パルス生成とEUV出力の後、できるだけ早期に回収プロセスを開始できるようにする。更に、LS3出力スイッチは、最後のエネルギー貯蔵コンデンサとソースロードの間を分離するので、次のパルスが生成される前にソースの追加時間が回収できるようにする。このスイッチは、我々が放電コンデンサと呼ぶ最後のエネルギー貯蔵コンデンサC2が、エネルギー回収プロセスが完了した後でLS3スイッチが逆バイアスされるとすぐに充電を開始できるようにする。バイアス回路(バイアスインダクタL4とバイアス電源装置V1を含む)の設計は、次のパルス生成シーケンスにおいて少なくとも繰り返し速度5kHzでC2を充電できるだけの時間でLS3が回復できるように開発することができる。LS3スイッチは、従って、飽和する(C2の電圧が最大値に達する)まで、まず逆向きにバイアス(順方向ではなくロードに向けて伝導する)が掛けられる。次いでスイッチは、エネルギーがC2からロードに伝達されるようにするが、エネルギー回収サイクルが完了して反射されたエネルギーが全てC0に回収されて戻るまでは順方向伝導のまま留まる。この期間が終わると、バイアス回路からのエネルギーは主要パルス圧縮回路に印加され、再度LS3スイッチを逆バイアスすることによりサイクルを完了する。これが終了すると、(LS3スイッチは、今度はC2の電圧をロードから切り離すことができるため)ロードが過早停止するという潜在的な問題無しに、C2の充電が再度起きる。
EUVソースパワー要件を満たすためにはEUVソースの繰り返し速度を最終的には10kHzまで上げなくてはならないので、上記問題は、パルスとパルスの間の時間がずっと短くなるために益々重要になってくる。
図1Bと1Cは、第4世代プラズマピンチ試作装置のテスト結果を示している。図1Bは、コンデンサC2上の、及び電極を跨ぐパルスの形状を示しており、図1Cは、活性ガスとしてのキセノンを使った場合の実測フォトダイオード信号を示している。
高温放電
EUV X線装置
上に述べた高繰り返し速度、高信頼性、長寿命パルスパワーシステムを利用して、各種極紫外線又はX線装置に高電圧電気的パルスを提供することができる。これらのシステムには、図2Aに示す高密度プラズマ集束装置、図2Bに示す従来型Zピンチ装置、図2Cに示す中空カソードZピンチ装置、及び図2Dに示す毛管放電装置が含まれる。何れの場合も、光源は「Z」方向と称する軸に対して概ね軸対象である。それ故、上記光源、特に最初の3つはしばしば「Z」ピンチ光源と称される。
高密度プラズマ集束
高密度プラズマ集束EUV装置の主要構成要素を図2Bに示す。主要構成要素は、アノード8A、カソード8Bと絶縁体8C、及び高電圧パルス電源8Dである。この場合、高電圧が印加されると、カソードと、絶縁体8Cの外側表面に沿って走るアノードとの間に放電が開始される。高温プラズマ電流により発生した力は、プラズマを略上方向次いで内方向に向け、アノードの中心の真上に極めて高温のプラズマピンチを作り出す。
図1に示すパルスパワーシステムについて先に指定したパラメータは、この光源が、パルス持続時間約100から約500nsで、約5000ボルトの12Jパルスを生成するように特に選択された。プレイオン化装置(スパークギャップ・プレイオナイザなど)を設けるのが望ましいが、これについては米国特許出願第09/690,084号に詳しく説明されており、同出願を本願に参考文献として援用する。
図2A(1)は、出願人らが製作し試験した第4世代プラズマピンチEUV光源の一部の横断面を示しており、これには図1に示すパルスパワーシステムを組み入れている。先に言及した電気的構成要素の多くが、図2A(1)に表示されている。図2A(2)は、装置の電極領域の拡大図であり、アノード8A、カソード8B、スパークギャッププレイオナイザ138を更に詳しく示している。図2A(3)は、第4世代の図であり、図2A(1)に示す電気的構成要素の多くと、真空部3も示している。
従来型Zピンチ
従来型Zピンチ光源を図3に示す。この場合、放電は、アノードと、絶縁体9Cの内側表面に沿うカソードとの間で開始される。高電流により生成された力は、絶縁体9Cにより形成された円筒体の中心にプラズマを向かわせ、プラズマに円筒体の上端近くで極めて高温でピンチを起こさせる。
上記構成要素を備えた図1に示すパルスパワー回路は、従来型Zピンチ設計の実施形態として作動するが、当業者は、パルスパワー電気的構成要素のパラメータをZピンチの特定の設計パラメータにあわせるために、変更を加えることを選択するかもしれない。例えば、5000ボルトのパルスが好適な場合は、図1に示すものと同一の基本回路で、パルス変成器406に一巻き一次巻線をもう1つ加えれば、簡単に実現することができる。この設計では、通常、プリイオナイザを設けて、各パルスの開始時にプラズマの開始を助ける。これらプリイオナイザは、スパークギャップでも、他のプリイオナイザ・ソースであってもよく、大抵は別個の図示しないソースから電力供給される。
中空カソードZピンチ
図2Cに示す中空カソードZピンチは、従来型Zピンチに非常によく似ている。違いは、カソードが、円筒形の絶縁体の下に中空を生成するように構成されていることである。この設計では、高電圧が十分に高いレベルまで上がると、各パルスの開始時に中空領域9Eの上部近くに非常に大量のイオンと電子が自然に発生するので、プリイオナイザの必要性がなくなる。このため、この設計は、放電を開始するための高電圧スイッチを必要としない。放電は自発的に開始されたものとみなされる。
この設計で図1に示す電源装置を使ってパルスパワーを提供する場合、カソードの中空部でのプラズマ発生は、ピークを有するコンデンサC2が十分に充電され次いで電流が実質的に妨げられずに流れるようになるまで、可飽和インダクタL53が放電を控えるのと同じ目的を果たすので、最後の可飽和するインダクタL53は省くか、或いはその値を実質的に減じることができる。
この中空カソードZピンチは、最初の2つの設計よりも相当高いパルス電圧となるように設計することもできる。そうしても、図1に示す電源装置を使って何ら問題はない。例えば10000ボルトの放電パルスなら、変成器406の一巻き一次巻線の個数を3から9に増やすだけで容易に実現できる。
毛管放電
従来の毛管放電EUV光源の図を図2Dに示す。この設計では、カソードとアノードの間の高電圧放電により作り出されるプラズマの圧縮は、通常は約0.5mmから4mmの範囲の直径を有する細い毛管にプラズマを通すことによって実現される。この場合、パルス持続時間は、図2、3、4に示す実施形態でのパルス持続時間が約100ナノ秒から500ナノ秒であるのに比べて、約0.5マイクロ秒から4マイクロ秒程度となる。更に、パルス電圧は、通常、約1500ボルトの様に実質的に低くなる。しかしながら、同じパルスパワーシステムが、僅かに変更を加えるだけで優れた電力供給源を提供する。簡単な変更とは、最後の工程の磁気圧縮をなくすことであり、これはC2コンデンサバンクとLS3可飽和インダクタを省くことで実現できる。ピークパルス電圧は、パルス変成器406の巻線を3から1にすることにより2000まで下げることもできるし、又は、変成器をなくし、初期充電電圧を上げて、数マイクロ秒でピーク電圧約1500ボルトの電気的パルスを提供するようにすることもできる。
レーザー生成プラズマ
本明細書の背景技術の項で説明したように、軟X線上に極紫外線光を生成するための従来技術による技法は、短いパルスを使用して、上記のプラズマピンチで生成されるプラズマと同様の非常に高温のプラズマを生成することである。先行技術による技法では、ダイオードレーザー(又はフラッシュランプ)でポンプされるQスイッチNd−YAGレーザーの様な固体レーザーを利用して、非常に高出力のナノ秒又はピコ秒レーザーパルスを生成して、ターゲット材料に集束させるのが一般的であり、このターゲット材料は、デブリを発生させるリチウムや錫又はデブリを発生させないキセノンの様な、上記の活性材料と同じターゲット材料であってもよい。上記先行技術による光源の幾つかは、米国特許第5,668,848号、同第5,539,764号、同第5,434,875号に記載されており、これらを本願に参考文献として援用する。上記先行技術の特許では、プラズマを生成するのにNd−YAGレーザーを使用すること、及びターゲット材料にプラズマを発生させる目的で高出力(1×1011“ワットなど)の非常に短いパルスレーザービームを作り出すためにNd−YAGシード付XeClエキシマ事前増幅型及びXeClエキシマ増幅器を使用することを教示している。X線を発生させる場合について、他のレーザーシステム(エキシマレーザーシステムを含む)が提案されている(例えば、M.Chaker他、J.Appl.Phys.63,892,1988; R.Popil他、Phys.Rev.A35,3874(1987); F.O'Neil他,Proc.SPIE831,230(1987)を参照)。出願人らは、自分たちのプラズマ集束装置の開発に関連して出願人らが開発した新規な特性の多くは、レーザー生成型プラズマ並びに図2Aから図2Dに示す各種ピンチ装置により生成されるプラズマに関して適用できると判断した。
出願人らの雇用者は、集積回路リソグラフィ用エキシマレーザー光源の米国並びに国際的な大手サプライヤである。上記レーザーは、248nmで作動するKrFエキシマレーザーと193nmで作動するArFレーザーである。これらレーザーは信頼性が極めて高く、通常、1年365日24時間作動させて動作可能時間が平均で99パーセントを超える。過去数年の間に、これらレーザーのパルス繰り返し速度は、1990年の100Hzから2003年には4000Hzまで伸びた。これらレーザーの平均出力は1990年の1ワットから2003年には120ワットまで伸びた。パルス持続時間は約20nsであり、現在のパルスエネルギーは約30mJである。これらレーザーの繰り返し速度を6000Hz乃至10000Hzまで引き上げる技法については、米国特許出願第10/187,366号に記載されており、本願に参考文献として援用する。
出願人らは、248nm及び193nmで主流となっているリソグラフィ光源として現在使用されているエキシマレーザーシステムを改造して11nmから14nm範囲の極紫外線光を提供することができるものと確信している。これらレーザーシステムは、以下の米国特許並びに特許出願、即ち米国特許出願第6,128,323号、同第6,330,261号、同第6,442,181号、同第6,477,193号、並びに米国特許出願09/854,097号、同第09/943,343号、同第10/012,002号、同第10/036,676号、同第10/384,967号に記載されており、これらを参考文献として本願に援用する。
レーザー生成プラズマ光源では、レーザーエネルギーは逆制動放射機構により吸収される。エキシマレーザーは波長が短いために、(周波数二倍化)固定レーザーによる近赤外又は可視レーザー放射線に比較して、エネルギーをターゲットプラズマに更に効率的に結合することができる。(プラズマ周波数、従って臨界密度はポンプレーザーの波長が短いほど高い。)エキシマレーザーは、波長が短いので、(必要に応じて)、波長の長いレーザー(例えば、固体レーザー)よりもより密に(回折)スポットサイズに集束できる。これにより、ソースの出力密度が増す。Cymerレーザーが世界中で最も信頼できるレーザーであることから、エキシマレーザーはCymerレーザーがよい。必要に応じて、幾つかのエキシマレーザービームを1点に組み合わせることもできる。これにより出力を加減することができる。
真空チャンバ内部の(気体、液体、又は固体)ターゲットに1つ又は幾つかのエキシマレーザービームを密に集束して、高温のレーザー生成型プラズマを生成する。適正なターゲット材料を使用して、プラズマが適正平均電子温度に達すると、13.5nmのEUV放射線が効果的に生成される。適したターゲット材料は、キセノン、錫、及びリチウムである。キセノンは、デブリの発生が少ない点で有利である。不都合なことに、キセノンは13.5nmでは効率性が最もよいターゲットとはいえず、特にレーザー生成型プラズマにおいてはそうである。キセノンは、11nm辺りでは遙かに効率よく放射線を生成する。最良のターゲット構成の1つは、プラズマがノズルからかなり遠い距離に生成されることから、キセノンの液体ジェットである。多くのイオン化段階は、約13.5nmの4d−4f放射に同時に寄与するので、変換効率の点では錫が有利である。インジウムも、14nm以上の対応放射幅を使用する場合には有利である。(その場合、製造された多層ミラーは、ピーク反射率が僅かに下がるだけで、帯幅はより広くなる。従って、より高い積分帯域内強度が得られる。)放射帯域幅の狭い光源が必要な場合は、リチウムが、13.5nmにおいて狭い線で効率よく放射することから有利である。特に金属をレーザーターゲットとして使用する場合には、閉じ込め用に小さなキャビティを使用するのが有利である。液体金属ターゲット(溶融した錫、インジウム、又はリチウム)は、ソースが一定の繰り返し速度で作動するとき、高ターゲット密度及び再生可能ターゲット条件の可能性を提供する。(クレータが形成されるが、所与のレーザーパルス間の繰り返し速度において、所与のかなり一定の形状が動的に展開される。)
エキシマポンプレーザーは、それが最も効率のよいエキシマレーザーであり、集束用光学器に付帯する光学器の問題があまり深刻でないことから、クリプトン−フッ化物を使って248nmで作動させるのが望ましい。エキシマレーザーは、非常に高い出力が必要なので、広帯域且つとに整合せねばならないため、非常に短い(約20nsの様な、数ナノ秒)のがよい。ピーク出力は高くなるはずである。好適な実施形態では、レーザーは、繰り返し速度10kHz以上、少なくとも5kHz以上で作動する。有効繰り返し速度を上げるために、或る間隔内に適した異なる回数で作動するレーザーを幾つか組み合わせることもできる。これは、ターゲット構成及びターゲット材料の補給速度にかなり依存する。ターゲットに入射するレーザーパルスを特別仕様にすることが有利である。或る好適な実施形態では、例えばエキシマレーザー発振器により生成される前パルス部分は(ターゲットまでの移動時間を最小化するためにパワー増幅器を迂回し)、全レーザーエネルギーの数パーセントを含んでおり、この部分が最初にターゲットに到達してプレプラズマとなる。このプレプラズマは、主レーザーパルスを遙かに効率的に吸収する。プレプラズマは、異なる、おそらくはより小さな出力のレーザーを使用することにより実現することもできる。
レーザービームは、真空ウインドウのすぐ前又は後ろに取り付けられた、最適化された集束用光学器で集束される。直径が約100μmより小さい焦点を実現するのが目的である。焦点のスポットサイズは、プラズマの拡張速度を考慮に入れなければならないため、レーザーパルスの持続時間(10ns乃至30ns)にある程度依存する。要するに、主要加熱期間中にプラズマの大部分を密にまとめておけるほどに、レーザーパルス持続時間は十分に短くなくてはならず、スポットサイズは十分に小さくなくてはならない。典型的な拡張時間は、ナノ秒当たり10から100μm程度である。
先に特定したエキシマレーザー特許及び特許出願に詳しく説明されているレーザーシステムは、非常に線が細いパルスレーザービームを生成するが、この線は約0.5pm以下である。これにより4分の1ミクロンのスポットに集束可能となる。しかしながら、上記レーザーシステムは、出力パルスレーザービームの帯域幅が、KrFレーザーでは約35nmで、線の中心が約248nmとなる広帯域で作動することができる。広帯域作動により、出力ビームのエネルギーが実質的に増加する。例えば、特許出願10/384,967号に記載されている型式のKrF MOPAシステムは、(30mJの線が細いパルスに比較して)330mJパルスを生成することができる。20nsパルスでの瞬間パルス出力は約165×106ワットとなる。Nd/YAGレーザーを使った、Lawrence Livermore研究所で実施された実験によると(1996年3月、J.Appl.Phys. 79(5))、最大EUV出力はレーザー強度2×1011“W/cm2で生じた。一方、最大変換効率(EUVエネルギー出力/レーザーエネルギー出力)は約2×1011“W/cm2で生じた。上記実験は波長が変化しても大きな変動を示さなかった。実験でのパルス持続時間は、出願人らの雇用者のエキシマレーザーの20nsパルスとあまり違いがなかった。従って、165×106ワットのパルスの場合、出願人らはスポットサイズが約0.1mm2程度であるのを好適とし、この大きさであると強度が約1.6×10-11W/cm2となるが、これは最大効率と最大出力の間にある。
レーザーパルスのエネルギーは約330mJなので、変換効率約0.006では、EUVパルスエネルギーは約2mJ/パルスとなる。6000Hzでは、これは約12ワットのEUV生成に相当する。本願に説明する技術を使えば、この光の約20パーセントを集めて、図19の位置11のような中間焦点位置に送ることができる。従って、1つのエキシマレーザーにより生成され中間焦点位置へと送られるプラズマの平均帯域内EUV出力は約2.4ワットである。システムを2つ組み合わせると、約5ワットを生成することになる。用途によっては、これで十分である。
出願人らは、メーカーの将来的なEUVリソグラフィ機は、図19の位置11の様な中間焦点位置で約45ワット乃至約100ワットとなるようなEUV光源を求めていると言われてきた。しかし、この要件は今後数年(少なくとも5年)のことであり、100ワット範囲のEUV出力を取り扱える対応するリソグラフィシステムが開発された際の要件は不確定である。エキシマレーザーは、1.06μmの固体レーザードライバよりも、エネルギーをより効率的にプラズマ(より短い波長、より高い臨界密度)に結合すると期待できるので、変換効率は先行技術によるNdYAGレーザーに比較すると、エキシマレーザー生成プラズマの方が高くなるはずである。
リソグラフィツールの中間焦点において求められる約100ワットのEUV出力を生成するには、約10kWのレーザー出力が必要となるはずである。実証変換効率に期待される改良を加えることにより、各KrFモジュール(248nmでの広帯域作動)は、約1乃至1.2kWのレーザー出力を提供するものと見込まれる(例えば200mJ/パルスで繰り返し速度6kHzで作動)。このようなモジュールが合計で9個あれば、求められるレーザー出力を送出できるはずである。ソースでは200Wを超える帯域内EUV放射線が生成され(2%帯域幅を2πとする)、約100ワット帯域内EUVが集められて中間焦点に送り込まれる。
レーザービームを組み合わせる(多重化)には幾つかの方法がある。レーザービームは、ミラーで光学的に(ほぼ)重ねることができ、レーザービームは、同一のレンズを通して僅かに異なる方向から同一の焦点に集束することができる。ターゲットが高い繰り返し速度を維持できるだけの速い速度で補給されるのであれば、レーザーは、有効繰り返し速度が増すように互い違いにトリガすることもできる。例えば、レーザーシステムを3つ使って繰り返し速度を3倍の約18kHzにすることも実現可能であると思われる。
図4は、幾つかのレーザーモジュールからのレーザーが集束レンズの異なる部分を目指し、レーザー生成プラズマの位置に対応する共通の焦点で空間的に重なるようにした1つの実施形態を示している。放射されたEUV放射線は多層コーティングされた第1コレクタミラーにより広い角度範囲に亘って集められ、中間焦点に向けられる。
図4Aは、幾つかのレーザーモジュールからのレーザービームが、レーザービームによっては別々の集束光学器を使って、共通のレーザー焦点に重なるようにした別の実施形態を示している。レーザー放射線は、第1コレクタミラーの数個の開口部を通して集束させることができる。この実施例は、レーザープラズマから生成されたEUV放射線は、ある程度入射レーザービームの方向にピークがある(そして、レーザービームに対して直角の角度で弱くなる)角度分散を有しているという事実を利用している。この実施形態では、最強放射領域がビーム送出装置に必要な空間で遮断されることはない。
ターゲット送出
レーザープラズマにとって好適なターゲットは、いわゆる質量限定ターゲットである。(不要なデブリの生成を増やさないために、レーザー生成プラズマにとって必要な適正量だけを提供し、それ以上は提供しない。)キセノンの場合には、好適なターゲット技法は低濃度液体ジェットである。耐腐食ノズルを使えば、クラスタービームターゲットとスプレーターゲットを採用することができる。金属(錫及びインジウム)では、液体金属滴をヘリウムビームに突っ込むのが適している。上方に取り付けられたノズルと下方に取り付けられたターゲットビームダンプは、適したシステムを構成する。図4Bを参照されたい。プラズマに面する表面は、イオンスパッタリングが低減されるので、カーボン又はダイヤモンドコーティングのような、伝熱性の薄膜でコーティングされている。
レーザープラズマ支援型EUVピンチ
レーザープラズマソースは、高いソース輝度(小ソース量)を有し、腐食性がなく、デブリ生成の少ない点が長所である。これは、所有に費用がかかり、全体的エネルギー変換バランスの効率が悪いことが短所である。放電ソースは、電気エネルギーをピンチプラズマに直接結合し、単純であることが長所である。電極腐食と高いデブリ生成、並びに温度管理問題が短所である。
レーザービームとレーザープラズマを使用して、プラズマの大きさ寸法、放電経路、プラズマピンチ位置を画定する。電極からプラズマ集束までの距離が、純放電ソースの場合よりも長くなるように配置する。これにより、電極面を大きくできることから電極面のパワー密度が下がり、従って電極腐食、デブリ生成、及び温度管理のリスクが低減される。一方で、主パワー入力は、低インダクタンス電気放電により提供される。これにより、純レーザープラズマソースの場合に入手可能となるよりも効率的なプラズマに対するエネルギー結合が保証される。電極の配置は、従来型Zピンチの場合よりも球面的になる。これとレーザープラズマ開始によってソースの安定性が増す。プレイオン化、レーザープラズマ生成、及び主要ピンチプラズマ生成のタイミングによって、EUV放射線生成の最適化に更に制御が加えられる。
この装置は、主には、レーザープラズマ支援型放電開始という更なる利点を有する放電生成EUV光源である。電極は、現在(及び将来的に)DPF機に使用されている同一のパルス式パワーシステムに接続されている。(送出パルスエネルギー10J乃至20J、パルス長30−100ns、繰り返し速度は数kHz、ピーク電圧は数kV、ピーク電流は数十kA。)内側電極は正又は負に充電することができる。外側電極は大地電位である。図4Cに示すように、電極装置は、DPF装置とは幾分異なる。(水冷式)電極は大型で、放電に関わる電極面は大きい。それは30乃至50cm2程度である。直接視線に沿う放電を防止するために、電極の間に絶縁体ディスクを配している。
例えば、RFコイルを介したパルス式RFプレイオン化などの、プレイオン化手段がある。軸上を伝播するパルス式レーザービーム(エキシマレーザー又は固体レーザー)は、集束用光学器によって約100μmの直径を有する焦点に対して装置の中心に集束される。レーザーは、パルスエネルギー100mJ乃至200mJ、パルス長10乃至15ns、繰り返し速度数kHzのKrF広帯域エキシマレーザーでもよい。装置の中心の共通のスポットに集束されるレーザービームが数個あってもよい。ターゲットガス、即ちキセノン又はキセノンとヘリウムの混合気は、内側電極の内部から挿入され、真空ポンプにより吸い取られる。典型的な作動圧力は1から0.01トルの範囲にある。放電はパッシェン曲線の左側で行うことができる。内側の電極が負の高電圧によりパルス充電される場合は、中空カソードとして構成することができる。
低密度ガスを容易に分解できるようにするために、先ず、RFプレイオン化がトリガされる。次に、レーザービームが到達して、装置の中心に良好に画定されたプラズマスポットを生成する。プレイオン化されているため、ガスは、レーザー焦点近くで分解される。次いで、パルス圧縮回路からの主放電が行われる。ピンチが、レーザープラズマスポットにおいて軸上展開する。ピンチングは、磁気による自発圧縮により起きる。レーザープラズマスポットは、ピンチの位置を画定し、その位置安定性を高める。(中心のインダクタンスが高すぎると、放電チャネルを形成するためにレーザービームはドーナツ型とする必要がある。これは実験的に試験せねばならない。)レーザープラズマからの拡張衝撃前線は、より強力な主ピンチプラズマからの半径方向圧縮前線に出会う。ピンチされたプラズマチャネルが形成され、これによりガスは高イオン化レベルまで加熱され、EUV放射線を発する。2つのプラズマ衝撃前線の逆方向伝播は、ピンチの持続時間、従ってEUV放射の持続時間を効果的に延ばす。EUV放射線は、全ての方向に発せられる。外側電極の大きな開口部を通って発せられた放射線は、斜入射収集光学器で集められる。エネルギー、集束サイズ、レーザープラズマのタイミングによって、主ピンチプラズマのサイズが決まる。
放射線コレクタ
材料
放射点で生成された放射線は、4πステラジアン全域に均一に発せられる。収集光学器の種類によっては、この放射線を捕らえてそれをリソグラフィツールに向けて方向決めすることが必要とされるものもある。13.5nmのUV光に対し小さい斜入射角度で高い反射率を有する材料が幾つか利用可能である。そのうちの幾つかについてはグラフを図11Aに示している。良い選択肢としては、0度から約20度の範囲ではモリブデンとロジウム、及び斜入射角度が非常に小さい場合にはタングステンが挙げられる。コレクタは上記材料から製作されるが、ニッケルのような基板構造材料にコーティングとして塗布するのが望ましい。この円錐部分は、取り外し可能なマンドレル上にニッケルを電気めっきすることにより整えることができる。
円錐形入れ子型コレクタ
大きな円錐角を受け入れることができるコレクタを製造するには、数個の円錐形部分を互いに入れ子状にすることができる。各円錐部分は、2回以上の放射線の反射を使って、放射円錐のその部分を所望の方向に向け直すようにしてもよい。斜入射の最も近くで作動するように収集を設計すると、コレクタは腐食した電極材料の付着に最も許容性のあるコレクタを製造することになる。このようなミラーの斜入射反射率は、ミラーの表面粗さに大きく左右される。表面粗さへの依存性は、入射角度が斜入射に近づくにつれて小さくなる。出願人らは、自分達の装置では、少なくとも25度の立体角度に亘って発せられる13nmの放射線を集めて方向決めできるものと推測している。
別の好適な実施形態では、このコレクタ・ディレクタは、蒸発した電極材料に表面が汚染されないようにデブリコレクタによって防護されており、このデブリコレクタは、タングステン蒸気がコレクタ・ディレクタ4に達する前に、このタングステン蒸気を全て捕集する。図9には、プラズマピンチにより発生するデブリを捕集する入れ子型デブリコレクタ5を示している。デブリコレクタ5は、ピンチ位置の中心から広がってコレクタ・ディレクタ4に向かう光線と整列した表面を有する入れ子状の円錐形部分で構成されている。
デブリコレクタはタングステン電極から蒸発したタングステンと蒸発したリチウムを集める。デブリコレクタは、放射線コレクタ・ディレクタ4に取り付けられているか又はその一部である。両コレクタは、ニッケルめっき基板で構成されている。放射線コレクタ・ディレクタ4は、非常に高い反射率とするためモリブデン又はロジウムでコーティングされている。両コレクタは、リチウムの溶融点よりかなり高くタングステンの溶融点よりもかなり低い約400℃まで加熱される。リチウムとタングステン両方の蒸気はデブリコレクタ5の表面に集められるが、リチウムは気化し、コレクタ・ディレクタ4上に集まったリチウムもその後まもなく蒸発する。タングステンは一旦デブリコレクタ5に集められるとそこに永久的に留まる。
放物線コレクタ
図8Cは、出願人らが設計したコレクタの光学的特性を示している。図8Aに示すコレクタは、5個の入れ子状斜入射放物線反射器で構成されているが、図面には5つの反射器の内3つしか示していない。内側の反射器2つは示していない。この設計では、捕集角度は約0.4ステラジアンである。下で論じるように、コレクタ表面は、リチウムの付着を防ぐため、コーティングされ加熱されている。この設計は平行ビームを生成する。この他の好適な設計では、ビームは集束される。コレクタは、先に言及し図11にグラフで示したような、13.5nm波長範囲で高い斜入射反射率を有する材料でコーティングされているのが望ましい。
楕円ミラー
ビームを集束するために設計された別のコレクタ・ディレクタを図8Bに示す。このコレクタ・ディレクタではEUVソースを集束するために楕円ミラー30を使用している。この型式のミラーは、チェコ共和国に工場を有するReflex S.V.O.などのサプライヤから市販されており、米国では、英国及びコロラド州のエングルウッドに事務所を有するBede Scientific Instruments社が取り扱っている。読者は、このミラーが図8Bに32で示す角度の光線だけを集めることに留意されたい。しかしながら、ミラー30の内部及び外部に別のミラー要素を設けて別の光線を収集し集束するようにしてもよい。読者は、狭角度の光線を集める場合にはミラー30の下流に、又は広角度の光線を集める場合にはミラー30の上流に、他のミラー要素を局所的に配置できることにも留意されたい。
タンデム型楕円ミラー
図19は、EUVビーム輪郭を大幅に改善するための好適なコレクタ・ディレクタ設計を示している。これは、プラズマピンチで生成されたEUV放射線を集めて方向決めするタンデム型楕円ミラーである。
殆どのリソグラフィ用途では、ターゲット領域を一様に露光する必要がある。図2Aに示す種類の単体又は入れ子型楕円ミラーは、EUV放射線の収集及び再集束に使用すると、図2Aに示す焦点11の上流及び下流では放射線の環が非常に不均一になってしまう。これは、楕円コレクタの形状により生じる自然の効果である。ミラーの前面は、ミラーの背面に比べて、単位ミラー表面積当たりより大きい立体角のソース放射を集める。この効果は、図19に示すように第1ミラー42とタンデムに第2楕円ミラー44を使用することにより逆転する。(この実施形態では、第2の入れ子状楕円ミラーなしに単一の楕円ミラーを使用している。)第2楕円ミラー44は、第1ミラーの第2焦点を中心に「反射された」第1楕円ミラー42の鏡像である。ここでは、第2楕円ミラーの第1焦点が第1ミラーの第2焦点の位置となるように、第2楕円ミラーを第1ミラーと同じ光軸上に配する。このタンデム型楕円ミラーの場合には、第2ミラーの第2焦点を離れる放射線は環状となるが、環の中の放射線は一様である。露光の均一性は、ここでは、楕円ミラーに本来備わっている収集形状ではなく楕円ミラーの表面形状の関数となる。
分析
出願人らは、タンデム型楕円ミラーの光学特性を、マサチューセッツ州、リトルトンのLambda Research Corporation の供給する光線追跡コード、TraceProを使って分析した。DPFソースからのEUV放射線は可干渉性ではない。従って、光線追跡コードを使って、タンデム型ミラーに集められて出て行く放射線の特性を求めることができる。EUV放射線は、モリブデン又はルテニウムなどの特別な反射面を必要とする。この分析は、ミラー表面が完全楕円形状の反射部を有し、且つ放射線が反射時に偏光しないものと仮定して行った。ミラー表面は、13.5nmで反射する純ルテニウムであると仮定した。更に、ソースは直径50ミクロンのディスクであり、放射線は表面の各点から等方性を持って発するものと仮定している。上記仮定は、タンデム型ミラーの均一な環状露光領域を作り出すための基本的能力を損なうものではない。
タンデム型楕円ミラーの幾何学配置を図19に示す。両ミラーは同じパラメータを有している。両ミラーの半径は40mmであり、焦点距離は150mmである。ミラーは、それぞれ、長さが100mmで、短径を通って切断されている。この図は、第1ミラーによって集められた数本のランダム光線も示している。第1ミラーの第1焦点でプラズマピンチソース46を出る放射線の部分は、第1ミラーの第2焦点11に集められ再度集束される。ソース46から300mmの焦点11を出る放射線は、第2楕円ミラーで集められ、焦点11から300mmにある第2ミラーの第2焦点48に再度集束される。焦点48では、ソースの1:1の像が生成される。放射線が焦点48を離れると、光線は散開して焦点48から9mmの位置にある検出器50に環状露光域を生成する。環状領域の強度は、図19のTracePro計算で示すように一様である。主環状領域の均一性は、平均値±2.5%以内である。出願人らの行なった検出器50におけるビームプロファイルのシミュレーションを図19に示しているが、焦点11の9mm下流のビーム断面について行った同じシミュレーションと比較できる。2つのプロファイルの断面を、図19では52で示す検出器50の断面と、54で示す図19のビームプロファイルの断面で比較している。
製作
楕円ミラーの製作技術は、過去数十年に亘って改良されてきた。これらミラーの表面品質は、今や、EUV領域で使用するための反射面の表面形状、表面粗さ、及び材料の要件を満足することができる。EUV楕円ミラー表面の考えられる候補として、モリブデン、ルテニウム、ロジウム、及びパラジウムの4つの材料が指定されている。上記材料は、13.5nmで比較的高い斜入射反射率を有する。ミラーがソースにより範囲を定められる適正な立体角を捕集できるようにするには、斜入射反射率が比較的大きい角度でも高いままでなくてはならない。理論上は、ルテニウムは上に掲げた4つの材料の中では捕集効率が最も高い。
上記ミラーは、一連の工程を通して製作される。第1に、望ましいミラーの外側形状を有するマンドレルが作られる。通常、マンドレルはアルミニウムを使って過小寸法に作り、次にリンを15%含有する無電解ニッケルでコーティングを施してマンドレルを過大寸法にする。無電解ニッケルを肉厚約0.5mmにコーティングして、マサチューセッツ州マルボロに会社があるCorning Netoptic等のベンダーに、望ましいミラー表面形状になるまで全表面をダイヤモンド旋削させる。これにより、マンドレル面上の約0.1mmのニッケルを取り去る。ダイヤモンド旋削の現在の技術は非常に良好であるが、この段階での表面はEUVミラーとして使用するには適当ではない。ダイヤモンド旋削は、楕円面の前面対背面偏差及び表面粗さを含む形状要件に関しては十分正確であるが、微小粗さが大きすぎる。ダイヤモンド旋削面は、研磨して微小粗さを0.5nmRMS未満に抑えなくてはならない。高度の研磨には、無電解ニッケルの高リン成分によりもたらされるニッケル表面の硬さが必要である。無電解ニッケル表面が適切に研磨され、表面形状が規定内に収まった後、反射面材料がマンドレル表面にコーティングされる。表面コーティングに使用される精密な処理手順は、表面に添加される反射材料の特性により決まる。反射性コーティングをマンドレルに施した後、ニッケルがこの表面上に約0.5mmの厚さまで電気鋳造される。電気鋳造されたニッケルは、マンドレルの軸に沿ってマンドレルと電気鋳造ニッケルの間に力を加えることによりマンドレルから離される。反射表面には電気鋳造されたニッケルシェルが残り、それがマンドレル上のニッケル面から滑離するとミラーが出来上がる。リン含有率の高い、十分に研磨された無電解ニッケルの表面は、反射面の自然剥離剤として機能する。ミラーをマンドレルから取り外し、マンドレルを再研磨すると、マンドレルは、第1ミラーと全く同一の別のミラーの製作に使用できる準備が整う。
整列
ソース並びに互いに対するミラーの位置決めは、タンデム型楕円ミラーの正しい機能にとって重要である。整列は、光学ベンチ上で、ソースをDPF EUVソースと同じ位置に置いた状態で実現することができる。これら楕円ミラーの光学的特性を利用すべきである。検出器面が第2焦点近くで光軸に直角に配置されている場合は、例えば直径50ミクロンの小型ソースを、楕円の第1焦点近くに配置することができる。検出器が第2焦点にあれば、像は必ず中心に来て対称となる。第2焦点の軸方向位置を求めた後、検出器アレイを焦点から遠ざけることができる。ここで、ソースがミラー軸上にあれば、像は必ず対称となる。これには二次元空間内でのソースの位置決めが必要になる。第1焦点の軸方向位置は、検出器を第2焦点に移動させ、次いでソースをミラー軸に沿って検出器が像中心に最大信号を与えるまで移動させることにより求めることができる。
この手法を第2ミラーについて繰り返さねばならない。2つのミラーを整列させた後、アッセンブリ全体をDPFに移さねばならない。EUVソースを第1ミラーの第1焦点に置くには、固定具を適切に調整せねばならない。位置決めの精度は、DPF EUVソースの有効直径の少なくとも25%でなければならない。DPFソース直径の現在の推定値は、機械軸に沿って見て80ミクロンである。従って、予想される整列精度は機械軸に垂直な面内で20ミクロンである。タンデム型ミラーの軸方向整列はそれほど重要ではなく約0.5mmであればよいと見込まれる。
リソグラフィ投影光学器
好適な実施形態のEUV投影は、ソーススポットを投影光学器の入射瞳にマップし、ソースの遠場強度(即ちエネルギー対角度)をレチクル上にマップするように設計されている。入射瞳の均一性は重要ではあっても決定的ではないが、レチクル面の均一性は決定的に重要であることから、このような設計が望ましい。この設計コンセプトは、放射が等方性で、従って均一な強度対角度を有しているという事実を活用している。二重ミラーコンセプトは、この均一な強度対角度特性を復活させている(少なくともミラーの補足角の円錐内では)。EUV照明器は、強度対角度の「リング」を取り、それを部片即ち弧に分解して、それら弧をレチクル上に重ねる。これにより、均一性が更に改善され、EUVシステムはスキャナで、従って照明はスリット領域上にしか必要ないので、EUVシステム内で実施することができる。
デブリ軽減
2つのミラーの間の中間焦点11と最終焦点48の両方は、DPFソース領域がリソグラフィ露光領域から分離されるようにする。これらの点で、EUV放射線は、ソースデブリ又は(第1楕円ミラー装置の領域に侵入した)活性ガスは露光チャンバに到達しないように遮断するがEUV放射線は遮断しないピンホールを通過する。更に、これら小さなピンホールは、露光チャンバが、DPF動作に求められるよりもずっと低い圧力を有することができるようにする。
ハイブリッド収集
現在利用可能な反射器技術に基づけば、この12−14nmEUV光に対して0.7以上の範囲の反射値を提供する反射器は2種類しか存在しない。図11Aに示すように、数種類の材料が良好な斜入射角度反射器を提供する。例えば、滑らかなモリブデン表面からの反射は、10度より小さい斜入射角度で90%であるが、モリブデンからの反射は斜入射角度が15度より大きくなると急激に下がり25度では10%未満に落ち込む。一方、通常の入射角度で60%から70%の反射率値を提供するように特別な多層反射器が設計されているが、これら多層反射器の反射率は法線から約5−8度の範囲についてしか高い反射率を維持できず、入射角度が約10度から15度より大きい場合には約10%未満に落ち込む。法線回りに約20度までの広い範囲に亘って約30%の反射率を目指して、他の多層ミラーを設計することもできる。これら入手可能なミラー技術を使って、出願人らは集めた光を最大にする各種コレクタ設計を開発した。それら設計のうち3つについて図11B、11D、11Eに示している。出願人らは、これらコレクタを、多重収集設計を使っていることからハイブリッドコレクタと呼んでいる。例えば、先行技術としては、入れ子型楕円ミラー、並びにダブルバウンス双曲線ミラーを含む双曲線ミラーによる入れ子型斜入射角が挙げられるが、殆どの多層反射器設計は標準双曲線設計に近いシングルバウンスである。図11Bは、ルテニウムコーティングされた2つの楕円ミラー80、81と、ルテニウムコーティングされた2つのダブルバウンス双曲線ミラー82、83を使用して、1500nmの焦点距離を提供するハイブリッドコレクタの部分断面図である。図11Cは、約10度から55度の間の光の入射角度におけるミラーの反射効率を示している。この設計では、先行技術による楕円形設計又は先行技術による双曲線設計よりもはるかに多くの光を集めている。出願人らは、発射された光の約25%が集められ、集められた光の79%が1500nmの中間焦点に届けられると推定している。これは、捕集効率を20%と推定することに等しい。
図11Dは、図11Bのコレクタに変更を加えたバージョンであり、追加の放物線二重反射ミラー84と放物線三重反射ミラー85を使用して、集められる正味エネルギーを約28%にまで増やしている。
図11Eは、第3のハイブリッドバージョンで、図11Bのコレクタに変更を加えたものであるが、(2つの楕円反射器と、2バウンス放物線反射器に加えて)出願人らは、更に第3の2バウンス放物線ミラー86と、斜入射角湾曲光線追跡型ミラー87と、法線からの約9度で反射する多層放物線ミラー88を加え、捕集効率を約20%から約25%に上げている。
別の実施形態では、多数のレーザービームを、電極の対応する開口部に通して、共通の中央焦点に集束させることができる。主放電は、レーザーチャネルに沿って進み、中央プラズマに集束する。
デブリシールド
デブリシールド製作技法
先に述べたように、考案中の実質的に全てのEUV光源において、デブリシールドは重要な要素である。完全なデブリシールドは、デブリ全部を捕捉し、全部を帯域内放射で移送するのではない。デブリシールドは寿命に限りがあるので、製作の難しくないのが望ましい。デブリシールド製作に好適な3つの技法を図28A−B、29A−C、30A−Cに示している。
図26A及びBに説明する技法では、図26Aに示す取り外し可能なやせたピラミッド形の型枠を製作して、この型枠の小さな端部を図28Bに示すような格子状構造体に挿入する。スペーサプレートを、そのタブが各型枠の大きな方の端の穴に一致するようにして、型枠の大きい端に載せ、型枠同士を互いに格子の肉厚分だけ分離させるが、この肉厚は約0.01から0.1mm以下であるのが望ましい。格子間隔は、型枠の間に狭い空間を提供し、この空間は液体金属又は液体セラミックで満たされる。金属又はセラミックが硬化したら、型枠を取り外してデブリシールドが出来上がる。
図5A−Cの技法では、図5Bの76に示すような中空の円錐体を、図5Aの77で示すように箔シートから切り出した非常に薄い(約0.1mmの)金属箔から溶接する。これら中空円錐体は図5Cの78に示す金属の型枠に挿入されデブリシールドを形成する。
図7A−Cに示すように、好適なデブリシールドは、薄いシートを積層して作ることができる。各シートは、それぞれ、半径方向の格子工作物を有し、格子工作物パターンは各シートごとに成長し、多数のシートが積み重ねられると、図7A−Cに示す所望の形状が作り出される。
積層方式の利点は、チャネルの不均一な表面が、粒子に対して曲がりくねった経路を形成し、粒子が中に集まる多数の渦ができることである。別の利点は、シールドアッセンブリを多数の材料で作ることができる点である。光源付近で耐熱セラミックを使用すること、或いは同じ領域から熱を奪う際に助けとなる銅の様な熱伝導率に優れた材料を使用することは有益であると分かるであろう。
磁気抑制
EUV光源のデブリシールドの効果を高める別の技法は、デブリシールドの領域及びピンチとシールドの間の領域に磁界を印加することである。磁界は、EUVビームの軸に直角な方向に向き、デブリシールドに接近して中に入る際に、荷電粒子を曲がった軌道に付勢するのが望ましい。デブリシールドの効果を高めるには、デブリは、更にイオン化されたポストパルスでもよい。これは、プレイオン化に使用するのと同じ構成要素で行ってもよいし、又は同様のイオン化構成要素をピンチ後・イオン化に使用してもよい。
別の実施形態では、大径コイル(コレクタミラーの直径よりも大きい)を、ミラー及びプラズマソースと同軸に取り付ける。通常は、高電流をコイルに印加して、軸方向に高磁界を発生させる。電流はパルス状(パルス幅は数十μs程度)で、高誘導磁界強度(10テスラ程度)を実現するのが好ましい。この高磁界を生成するのに、定常場及び好ましくは超伝導コイルを採用してもよい。これは、最もエネルギーの高いイオンを曲がった経路に偏向させて、コレクタミラーを外させるには十分である。高磁界は、プラズマソース体が僅かに長くなる原因となるが、これは許容できる。コイルは、或る種の支持構造体に取り付けねばならない。コイルを真空チャンバの内側又は外側に取り付けるのは考えられることである。
磁界内の荷電粒子の曲率半径は、運動方程式
F=q(vxB)
で規定される。この式から、電圧Vまで加速された質量Mのイオンに対する磁気剛性(B*R)が導き出され、次の式
B*R=144(M*V)0.5
で与えられる。これを、1000ボルトまで加速された1価Xeイオン(質量132)を偏向する場合に当てはめると、剛性は
B*R=144(132*1000)0.5(G−cm)=52,318G−cm
となる。従って、半径10cmの円形軌道でイオンを運動させたい場合は、52,318G−cm/10cmの磁界が必要となり、これは〜5232ガウスに匹敵する。
一般に、質量とエネルギーの異なるイオンを偏向するには、磁界を強くするか弱くする必要がある。EUV光学器のシールドパワーを最適化するために、コイルを各種構成で巻くことにより、又はコイルと永久磁石を組み合わせて望ましい磁界プロファイルを実現することにより、磁界の構成を調整することもできる。これら磁界の場合には、コイルは真空容器の外側又はその内側の何れに配置してもよい。所与の磁界を生成するのに必要なコイルを駆動する電流は、簡単に計算できる。
ハニカムデブリシールド
図9A、9B、9Cは、楕円型放射線コレクタ付デブリコレクタとして、テーパ付粉末成形セルラーハニカム体を使用している特別に好適な実施形態を例示している。デブリコレクタは、米国特許第6,299,958号に記載の技法の1つを使用して製造するのが望ましく、同特許を本願に参考文献として援用する。デブリシールドは、可塑化した粉末バッチ材料で成形した先駆ハニカムに、適性のあるプラスチック充填材を充填し、次に充填済みのハニカムを円錐形の型枠に通すことにより成形する改変処理を通して製造される。この処理により、充填材とハニカム構造体は共に収縮する。こうして円錐形に成形された構造体を、次に型枠から外して、溶融などの処理により充填材を除去する。その後、円錐形になったハニカムを、焼結などで硬化させる。図9Aは、ピンチ領域100、ハニカムデブリシールド102、及び楕円形放射線コレクタ・ディレクタ104の一部を示す三次元破断図である。図9Bは、図9Aの構成要素を、ピンチ領域100から出る4本の光線の内の線軌跡106A、B、C及びDと共に示す断面図である。図9Cは、追加的楕円要素がどのように入れ子状にされ、より多くの光を集束するかを示している。外側の楕円要素内に9又は10個の要素を入れ子状にするのが望ましい。粉末、結合材、及び充填材は、特許第6,299,958号に掲げられているものから選択することができる。材料の選択は、強力な極紫外線に耐えるためのデブリシールドの要件を認識して行う必要がある。好適な選択は、シリコン、マンガン及びアルミニウムから構成されるコージェライトを生成するように選択された粉末及びその他の材料である。
活性材料及びバッファガス
活性材料とバッファガスの選択
波長範囲約13.2nmから13.8nmのEUV光を生成するには幾つかの活性材料とバッファガスが利用可能である。好適な活性材料は、キセノン、錫、又はリチウムである。これら3つの活性材料については「12−14nmEUV用のソース」の項で論じている。インジウム、カドミウム、及び銀も候補となり得る。上記材料の1つを活性材料として使用する場合は、ヘリウム、ネオン又はアルゴンなどの希ガスをバッファガスとして使用するのがよい。特にキセノンが活性材料である場合は、バッファガスの候補リストに窒素と水素を加えることができる。活性材料が金属の場合は、殆どの実施形態において放電チャンバに蒸気として添加されるが、液体又は固体として添加しても、或いは溶液又は粉末の形態で添加してもよい。
これら活性材料は、全て、13.2nmから13.8nmの所望範囲で輝線を提供し、且つ、上に説明したように、この範囲ではUV光にとって比較的良好な特性を備えた反射光学器が入手可能であるという理由で選択されている。良好な光学器要素が、この範囲よりも低い又は高い他の波長範囲で利用可能となったときには、周期表及び対応する輝線の文献から代わりの活性材料を捜し求める必要がある。また、バッファガスは上記のものに限定されない。
アノード経由注入
本発明の好適な実施形態の特徴を図18Aに示すが、本図では、活性ガス、この場合はキセノン(混合率1対14でヘリウムと混合)がアノード経由で注入される。コレクタ・ディレクタ8の下流領域の12でバッファガス(この場合100%He)が注入される。デブリコレクタ6は、ピンチ領域の中心からコレクタ・ディレクタ8まで伸びる光線と整列した狭い通路を提供する入れ子状の円錐形部分を備えている。これら通路は、コレクタ・ディレクタ8に向かう光子の約85%を通過させるが、EUV光よりもランダムな経路を経るピンチ領域で生成されたデブリが通過するのを実質的に阻止する。ガスは、真空チャンバ10から出口14を通して毎秒40リットルの真空ポンプで排出される。従って、ガス供給孔12からデブリコレクタ6内の狭い通路を通るバッファガスの流れは、ピンチからのデブリの通過を更に阻止し、ピンチ領域からチャンバ10の領域へのXe活性ガスの流れを阻止する。従って、ピンチ領域からのデブリと入口24を通して注入された活性ガスの実質的に全てが、出口14を通って排気されるか、デブリコレクタ表面又はデブリコレクタ上流の容器の内壁を覆うかの何れかとなる。これにより、ピンチからのデブリによるコレクタ・ディレクタ8の汚染が回避され、デブリコレクタ6の狭い通路をバッファガスが流れることにより大量のキセノンがデブリコレクタ6の下流領域に流入するのが防止されることから、キセノンガスによるビームの減衰を最小化することができる。
二方向ガス流れ
図18Bは、本発明の実施形態の特徴を示しており、本図では、二方向のガス流れを使って、ピンチ領域近くの活性ガスの濃度を、EUVビーム経路の下流部における活性ガスの濃度が最小となるよう制御できるようにしている。本事例では、活性ガスは、図18Bの24で示すように、アノード18Aの中心を通して導入される。この好適な実施形態では、導入されたガスは、キセノンとヘリウムの混合比1/15対14/15の混合気体である。上記実施形態では、ヘイルムは12からも導入される。両ソースから導入されたガスは、上記型式の真空ポンプで14から排気される。ガスの流れは、ピンチ領域では約0.75トルの圧力に、及びコレクタ・ディレクタ領域では1トルの圧力になるように制御されるので、コレクタ・ディレクタ領域からのガスの流れはピンチ領域からの流れよりもずっと大きくなる。
活性ガスの上流注入
図18Cは、デブリと活性ガスを制御して、活性ガスによるEUV吸収を最小限にするための別の好適な技法を示している。ピンチ領域のガス圧は約0.5トルである。この実施形態では、真空チャンバ10内のガスの流れは、ピンチ領域からのデブリがコレクタ・ディレクタ装置8の領域に達するのを防ぎ、ピンチ領域を取り囲む中間区域を越えた領域の活性ガスの量を最小化するのを支援するように配置されている。例えばキセノンでもよいが、活性ガスは、ノズル2から約5SCCMの速度でピンチ領域の約3cm上流に注入され、そのほぼ全量が、電極18A内をその軸に沿って走る排気口3を通して50リットル/秒のポンプ速度で排気される。排気流は、カナダの会社であるSynergy Vacuumから入手可能なAnect Iwata ISP−500スクロールポンプで裏打ちされたデザインブロワーのような真空ポンプで作り出される。これは毎秒40リットルのポンプ速度を提供する。
キセノンは、デブリキャッチャ6の中央領域を通るガス管4を通してノズル2に供給される。デブリキャッチャ6は、ピンチ位置の中心からコレクタ・ディレクタ8に向かって伸びる光線と整列した表面を有する、6Aの入れ子型円錐部分で構成されている。これら入れ子型円錐部分は、ピンチで作り出されコレクタ・ディレクタ8に向かうEUV光子用に比較的障害の少ない通路を提供している。この通路は狭くて長さは約10cmである。
デブリコレクタ6は、タングステン電極18Aから蒸発したタングステンを(凝結により)集める。(活性ガスがリチウム蒸気の場合は、この蒸気はデブリコレクタ6の表面でも凝結する。)
本実施形態ではヘリウムであるバッファガスは、12で示すコレクタ・ディレクタ8の下流に注入され、バッファガスの殆どは、上記型式の真空ポンプ(図示せず)で真空チャンバ10から排気口14を通して排気される。ヘリウムの流れの約90%は、コレクタ・ディレクタ8を通りピンチ領域に向かい、バッファガスの全量が入れ子状円錐部領域6Aを通過する。上記例のように、このガスの流れは、ピンチ領域に発生したデブリがコレクタ・ディレクタ8に達するのを防ぎ、且つ出力EUVビームを生成するためにコレクタ・ディレクタ8によって集められ方向決めされる光の経路内の活性ガスの量を最小限にするのを助ける。これらの特徴は、デブリコレクタ6の表面にデブリが堆積すると反射率が下がり、EUVビーム経路内の活性ガスはビームを減衰させてしまうことになるので、重要である。
出口3を通して排気されるガスは、フィルタに掛けて大気へ排出されるのが望ましい。出口14を通して排気されるガスも、大気中に排気されるが、このシステム内の全ヘリウムガスの流れは毎時約16グラムしかないので過剰なガス費用はかからない。代わりに、ヘリウム及び/又は活性ガスは、分離し再循環してもよい。
活性ガスとしてのリチウム
リチウム蒸気は、ピンチエネルギーを、所望波長範囲の有効な光に効率的に変換する。リチウムは、室温では固体であるが、180℃から1342℃では液体である。リチウム蒸気を放電及びピンチ領域に導入するのには多くの方法を利用することができる。リチウムは、気化温度まで加熱して蒸気として導入することができる。リチウムは、固体又は液体として導入し、放電又はピンチで気化してもよいし、高出力レーザーパルスのような他のエネルギー形態によって、又は抵抗加熱要素、電気放電、又はRF加熱など他の加熱形態によって気化してもよい。リチウムは、Li2O、LiH、LiOH、LiCl、Li2CO3、LiF、CH3のような化合物として、又はその水溶液又は他の液体の溶液として導入することもできる。
リチウムは、レーザー誘導による蒸発又は削摩によってピンチ領域に送出してもよい。リチウム金属ターゲット30は、図18Dに示すように、デブリコレクタの中央ディスクに組み付けられたホルダに取り付けられている。或る好適な例では、KrFエキシマレーザー32は、波長が248nmでエネルギーがパルス当たり100mJから200mJのパルス状レーザービームを生成し、有効パルス長50nsでアノード上流側に取り付けられたウインドウ34を通過する。光は中空のアノードを通過して、真空チャンバの外側に取り付けられたレンズ36によって直径約1mmのスポットに集束される。このレーザー強度とスポットサイズは、温度上昇が気化潜熱で決まるような高速でLi金属を加熱するのに十分である。必要な閾値出力密度は、約5×107W/cm2である。出力が低いと、Liは、所与の温度における蒸気圧で決まる速度で蒸発する。
別の実施形態では、図18Aに示すように、中央電極の中央領域には、図17の38で示すようにLi金属が詰められており、レーザービームが、図17の40で示すように、デブリシールド8の中央を通過する。
Liをピンチ領域に送出できる別の技法は、Li金属をタングステンプレートに取り付けて、それを今度は永久磁石を入れたハウジングに取り付けることである。この装置は、デブリコレクタからの絶縁シャフト上に取り付けられる。Li金属は、Liの小領域しか露出しないようにタングステンマスクで更に覆われる。無線周波数で生成されるプラズマは、500MHzから2.45GHzの周波数で作動するRFジェネレータによりLiターゲットの前方領域で生成される。放電は、パルスモードかCWモードの何れかで作動する。パルスモードでは、放電はプラズマピンチと同期化する。5000WのRFパワーなら概ね十分である。
生成されたプラズマは、バッファガス、一般的にはHeで構成される。Heイオンは、Liターゲットに負のバイアス電圧を印加することによりプラズマから抽出される。500Vから2000Vのバイアスであれば十分である。He+イオンは、Liに当たると表面からLi原子を放出させる。上記バイアスエネルギーでのスパッタ収量は、法線方向入射の場合、約0.2から0.3まで変動する。斜入射の場合及びLiが高温の場合には、非常に高い収量が期待できる。
プレイオン化の改良
DPFは、EUV出力に対してそれぞれに有益な効果を有する多種多様な技法によってプレイオン化することができる。元々CymerDPFで使用されている技法は、図2A(2)に示す装置の外側電極に搭載された一組のスパークプラグ型ピン138を駆動することに基づいている。これらのピンは、RFシミュレータなどの高電圧パルスにより、又は6000シリーズ・コミュテータの単極出力により駆動される。RFシミュレータ又はコミュテータを使った場合、ブレークダウンを起動するのに必要な電圧は+/−20kVである。出願人らは、プレイオン化ソースは、カソードから離して且つ主真空容器の内部に配置できることも実証している。これはコイル状アンテナである。出願人らは、またプレイオン化に直線アンテナを使用して、これも成功させている。
この型式のアンテナは、線形又は螺旋コイル形態の何れでもよい。アンテナは、(例えば)2μsの間13MHzで高電圧パルスを送出するRFシミュレータ、正か負何れかの極性パルスを送出するコミュテータ、又はRF増幅器の何れかで駆動することができる。我々は、(10kHzのパルス繰り返し数)を支援することを実証した。外部プレイオン化(アノード/カソード領域の外側にアンテナを配置する)が、負極性の深いプラズマ焦点をプレイオン化する望ましいモードであることを示している。正極DPFでは、先の図1に示す「内部」アンテナの方がやや良好なプレイオン化を実現する。
図32は、プレイオン化パルスのタイミングは、最適な効果を実現するためDPF主パルスに対して調整せねばならないことを示している。プレイオン化が早すぎると(92で図示)、又は遅すぎると(93で図示)、深いプラズマ焦点の効率は悪影響を受ける。
注入ガスのプレイオン化
出願人らは、準安定状態のガスは安定状態のガスよりもプレイオン化が簡単であることを発見した。ガスは、放電チャンバへの注入に先立ちイオン化によって準安定状態にすることができる。例えば、図2A(4)及び図18A−Eは、ガス注入技法を示している。何れの場合も、注入ガスは(数nsの持続時間で15kVパルスの電圧の様な)高電圧放電により、又はRFプレイオン化により準安定状態にすることができる。これら準安定状態は約50ミリ秒続くので、約1m/秒のガスの流れでは、イオン化放電がピンチ放電の源の約5cm上流にあれば、多くの準安定原子が存在することになる。
キセノンが活性ガスである場合に有効な別の技法は、RFコイルを放電領域へのキセノン入口の周りに設置することである。出願人らは、吸気管内のキセノンガスのブレークダウンを起こすのに、2MHzから2.5MHzのRF周波数を提案する。代わりに、キセノン吸入管内で高電圧パルス放電を使用してもよい。或る好適な実施形態では、磁界を掛けて、生成されたキセノンイオンをピンチ放電が開始される特定の場所に向かわせる。
ノズルを使ったプレイオン化
出願人らの第4世代装置でEUV光を最適に生成できる圧力は、約100mトル以下の範囲にある。この圧力では、放電はパッシェン破壊曲線の左側となるので、イオン化を起こすにはブレークダウン用に非常高い電圧が必要になる。イオン化は、圧力が高いほど容易に起こる。先の項で説明した技法に矛盾しない解は、バッファガス又は活性ガスの何れかを放電チャンバに注入するのに使用されるノズル内でプレイオン化を起こさせることである。注入管内にイオンを発生させる技法については上で論じている。別の技法は、イオン化放射線を、図31に示すようにチャンバの内側から注入ノズルに向かわせることである。この放射線は、生成されたUV光又はX線を放射することが望ましい。
バッファガスとしての水素
出願人らは、この試作装置のEUV光学器が炭素の堆積によって汚染されることを発見した。1nmの炭素層は、多層光学器で1%以上(斜入射光学器では約10%まで)の相対反射損失を発生させる。1つの方法として、酸素をバッファガスに添加して炭素と反応させCOとCO2を生成するという方法が知られている。しかしながら、酸素は、光学器とも反応して酸化物を生成し光学器の劣化を招いてしまうことにもなる。
出願人らは、バッファガスに水素を望ましくは約20%から50%添加することを提案する。水素は、13.5nmでは吸収されず、炭素をエッチングして酸素とも反応する。更に、水素は、光学器の洗浄を行う保守プログラムの一環として周期的に短期間しか添加されず、光学器が洗浄された後は取り除かれる。
最適化技法
キャパシタンスの最適化
出願人らは、プラズマピンチ事象が駆動コンデンサバンクからの電流のピークと同時に発現する際に、最高のプラズマ温度が存在することを発見した。所与のアノード構成とバッファガス密度では、プラズマ前線は、所与の時間、所与の充電電圧で、アノード長を下る。最大放射効率は、ピークコンデンサ電流がプラズマピンチ事象の間に存在するように、キャパシタンス値と充電電圧を調整することにより得られる。
より高い入力エネルギーレベル、従ってより高い充電電圧が望ましい場合は、駆動キャパシタンスは、駆動波形のタイミングがアノード長に沿ってプラズマが走り下る時間と合致するように小さくせねばならない。コンデンサに蓄えられるエネルギーは、電圧の二乗に比例し、且つキャパシタンスとは線形関係にあるので、蓄積されるエネルギーは、キャパシタンスが電圧の増加に比例して下がるので、電圧と共に線形に増加する。
図13は、キャパシタンスがピンチ時に最大コンデンサ電流を生成するように適切に選択されている好適な実施形態について、測定された駆動キャパシタンス電圧、測定されたアノード電圧、及びEUV強度対時間を示す図である。この事例では、長さ2cmのアノードに対し、Heバッファガス圧力は2.5トル、C1キャパシタンスは3μFである。
中央電極の最適形状
出願人らは、中空アノード構成を使えば、プラズマピンチは一旦形成されると軸に沿って急速に成長し、中空アノードの開口部を伸び下ることを発見した。このピンチの長さが成長するにつれ、その長さに沿って電圧も大きく低下し、アノードの表面に亘って弧絡が発生する。この弧絡を防止する1つの解法では、ピンチ長が上記のようにアノードから離れて伸びる成長に対する物理的障壁を形成するためにブラストシールドを利用する。ピンチ長が成長して中空アノード内に下っていく速度を下げる別の解法は、図14C及び図14D(1)に示すアノードの狭い領域内部の開口直径を大きくすることである。これは、ピンチ長の成長を遅らせ、弧絡を防止する。前出の参考文献は全て、中空部の寸法が一定の中空アノードを示している。図14A、14B、14C、14Dは、各種中空アノード形状についてピンチ形状の例を示している。図14Dに示す構成は最短ピンチ形状を示している。
中央電極の露出長
プラズマのランダウン時間によって、駆動電圧波形のどこでピンチが生じるかが決まるので、出願人らは、アノードの露出量、従ってランダウンの持続時間を変えることによりプラズマ集束装置のピンチ部分の持続時間を調整することができた。バッファガス密度は所望のプラズマピンチ直径で規制され、駆動キャパシタンスは実際には或る範囲内に制限される。これら2つのパラメータを、駆動電圧と組み合わせると所望のランダウン時間が決まる。ランダウン時間は、露出アノードの長さを増減させることにより調整することができる。ランダウン時間は、駆動電流波形のピークの間にプラズマピンチ事象が起こるように選択するのが望ましい。長いプラズマピンチ持続時間が望ましい場合には、アノードの露出長を短くし、それによってランダウン時間を短縮してプラズマピンチを駆動波形の早い時期に起こさせることができる。
RF出力による蒸気生成
上記金属蒸気送出のスキームは、金属の蒸気圧が所望のレベルに達するのに十分な温度にまでアノード温度を上昇させることにかかっている。このような温度は、リチウムについては1000℃から1300℃の範囲、錫については2260℃である。
別の方法は、リチウムを浸潤させた多孔質タングステンの様な材料でRFアンテナを製作することである。この多孔質リチウム充填タングステンアンテナ50は、図15に示すようにアノードの内部に置かれる。RF電源52は、アンテナ上及びその近くにプラズマ層を作り出して原子を追い出し、原子はガスの流れ54で吹き上げられて中空アノードの中心を通り、リチウム原子はアノードの端まで運ばれる。金属イオン生成速度は、RF電源の出力レベルで容易に制御される。更に、多孔質タングステンアノードは、このRFドライブで、液体金属をアノードの底部に配置されたリザーバ56から吸い上げるのに十分な温度に保つことができる。
電極冷却
中央電極の冷却
本発明の好適な実施形態では、中央アノードは、外径が約0.5cmから1.25cmの範囲にある。中央電極は、放電時のプラズマ降下により、並びにプラズマピンチからの放射線の吸収により、相当なエネルギーを吸収する。約15kW以上の範囲の冷却が必要である。ガス圧が非常に低いので、バッファガスを介する対流で大幅な冷却を行うことはできない。放射冷却は、非常に高いアノード温度でしか有効ではない。アノード長を下る伝導には非常に大きな温度降下が必要となる。
ヒートパイプ
リチウム蒸気を活性ガスとして使用し、アノードの中心を通して注入する場合、アノード温度は、1000℃から1300℃又はそれ以上の範囲に維持する必要がある。この高い作動温度、相当な熱除去要件、包被問題、及び高い電圧によって、冷却技法の選択肢が制限される。しかしながら、1つの技術として、リチウム(又は他のアルカリ金属)ヒートパイプは、比較的単純で頑丈な解としての可能性を提供する。リチウム・ヒートパイプは、約1000℃の温度で効率良く作動する。このような装置の特定の設計では、ケーシング及び内部灯心として、耐火性金属、モリブデン、及びタングステンを使用するのが普通であり、従って非常に高い温度で作動可能である。
最も単純な実施形態は、最良の熱結合ができるようにDPFのアノードと一体化されている管状又は環状のヒートパイプの形態を取る。有望な実施形態では、液体又は気化したリチウムをDPFのプラズマまで送出できるように環状となっている。一例として、15kWを除去する直径0.5インチの中実ヒートパイプは、ワット密度が75kW/in2(11.8kW/cm2)である。外径が1.0インチで内径が0.5インチ、15kWの熱を除去する環状ヒートパイプでは、ワット密度は25.4W/in2(3.9kW/cm2)である。15kW/cm2を大きく超えるワット密度がリチウム・ヒートパイプで実証されていることから、上記両例は、この技術の可能性を例示している。作動時、ヒートパイプは、長さ方向に非常に小さな温度勾配があるだけなので、実用上は長さに亘って一定の温度を有していると考えることができる。従って、ヒートパイプの「低温の」(コンデンサ)端も、1000℃かそれ以上の温度になる。ヒートパイプのコンデンサ端から熱を除去するため、或る好適な実施形態では、(水の様な)液体クーラントのジャケットへの放射冷却を利用する。温度の4乗の放射熱伝導率、即ち高い熱伝導率が、提案されている作動温度では可能となる。ヒートパイプは、15kWの定常状態で作動可能な環状の水冷式熱交換器で取り囲んでもよい。他の実施形態では、ヒートパイプのコンデンサ端をステンレス鋼の様な別の材料で断熱し、当該材料の外面を液体クーラントで冷却する。どんな技法を使用するにしろ、ヒートパイプは、コンデンサのクーラントによって「衝撃を受けない」、即ちエバポレータ端より遙かに冷やされないことが重要である。これは、性能に関わる重大なことである。ヒートパイプ温度がその長さ方向のある点において作動液の凍結温度(リチウムの場合には〜180℃)より低くなると、全く作動しなくなる。
中央電極(アノード)の基部近くの構成要素の作動温度に対する制限事項として、この領域へ伝導される熱を最小限にすることが求められる。この条件は、例えば温度許容範囲が低い領域近くのヒートパイプの外側を、放射率の低い材料でコーティングすることにより実現することができる。すると、ヒートパイプと所望の低温度構成要素の間に真空ギャップが形成される。真空は熱伝導率が非常に低く、ヒートパイプは放射率の低い材料でコーティングされているので、ヒートパイプとそれより低温の構成要素との間では熱伝導が最小になる。パワー負荷レベルが変化する状態でアノード温度を制御できるように維持することは、もう一つの問題である。これは、ヒートパイプと水冷式外側ジャケットの間にシリンダを配置することにより実現できる。このシリンダは、内径の反射率を高くして外径の放射率が低くなるようにコーティング又は仕上げ処理が施される。放射ヒートパイプと水冷ジャケットの間にシリンダを一杯に挿入すると、放射はヒートパイプに向けて反射し戻されるので、ヒートパイプからジャケットへのパワーの流れが低減される。「絞り」シリンダが引き抜かれると、ヒートパイプのコンデンサの大部分が水冷式ジャケット熱交換器に直接放射できるようになる。「絞り」位置を調整することにより、ヒートパイプ更に最終的にはアノードの定常状態作動温度を設定するパワーの流れが制御される。
図16に、ヒートパイプ冷却システムを使った好適な実施形態を示しており、アノード8A、カソード8B、及び絶縁要素9を備えている。本例では、活性ガスとしてリチウム蒸気を使用し、440で示すアノード8Aの中心を通って放電チャンバ内に運ばれる。アノード8Aは、リチウムヒートパイプ444を備えたリチウムヒートパイプシステム442で冷却される。ヒートパイプ444の熱伝導領域446内のリチウムは、電極8Aの高温端付近で蒸発し、蒸気はヒートパイプの低温端に向かい、そこで熱は、ヒートパイプから放射冷却によって、ウォーターコイル450により冷却されるヒートシンク面448を有するヒートシンク装置446に移動する。リチウム蒸気は冷却されると状態が液相に変化し、その液体は周知のヒートパイプ技術に基づいて吸い上げられ高温端に戻される。この実施形態では、絞りシリンダ452は、図示しない温度フィードバック制御装置の一部である駆動装置に基づいて、454で示すようにヒートシンク面448内側を上下に滑動する。アノードヒートパイプ装置も、プラズマピンチ装置が十分な熱を生成しないときに、リチウムを凍結点を越える温度に保つための補助的な加熱システムを備えていることが望ましい。
中央電極の水冷
中央電極を冷却する別の好適な方法を、図20、20A、21、22に示す。この事例では、中央電極を通して加圧された水を循環させる。中央電極8Aは、図20Cに示すように2つの部分、即ち単結晶タングステンから成る放電部8A1(ドイツのFuelichのMateck GMBHから入手可能)と、焼結タングステンから成る低部8Aとで構成されている。外側電極8Bは、2つの部分、即ち蓋部8B1と基部8B2から成り、両方共Glidcop商標の酸化物硬化銅で構成されている。酸化物材料はアルミナである。外側電極は2つの部分から形成され、外側電極冷却用の水の通路460を形成している。両電極は、窒化硼素又は炭化珪素、ステンレス鋼基部8A3上にアルミナを蒸着した層464、及びポリイミド466(望ましくはDupontから入手可能なKapton)から成る主絶縁体462で互いに絶縁されている。中央電極を通る水経路は、図20Cに矢印468で示している。円筒形のステンレス鋼隔壁470は、電極内の供給流と戻り流を分離している。部分8A1、8A2及び8A3は、Niord又は50An−50cの様な金/ニッケル又は金/銅ろう付け材料を使って一体にろう付けされている。
放射ランダウンによるプラズマピンチ
本発明の好適な実施形態は、図2A、2B、2C、2Dで説明した何れかの電極配置に付いて述べたパルスパワー特性、放射線収集特性、及びデブリ制御特性を利用している。この電極配置は、図21に示す電極構成に比べて利点も欠点もある。電極は、熱問題が最小化できるように表面積が広くなっている。放電のフィラメンテーションは小さく、プラズマ閉じ込めは良好であり、放射安定性も良好であると期待される。出願人らは、図21に示す電極の軸に沿ってピンチを生成するように電極を設計できると確信している。
多数EUVソースの使用
先に示したように、将来の機械のためのリソグラフィ光源用としての本発明の好適な応用例は、少なくともその製造バージョンは、まだ設計も製作もされていない。照明出力は、ここで説明した技術を用いれば、単体のEUVソースにより従来から生成することのできる照明出力を超えることが可能である。この場合、2つ又はそれ以上のEUVソースを組み合わせて、必要な照明を提供する。ここに説明する技法と同様の技法を用いて各ソースからの光を集めて、リソグラフィ装置のソースとなる単一スリット上に投影するのが望ましい。
リソグラフィ機との一体化
好適な実施形態では、EUV光源装置の部分は、図2A(21)に示すように、ステッパ機の様なリソグラフィ装置に直接一体化されている。一体化部分は、図2A(21)に120で示すように、半導体パルスパワー装置のコメンテータ及び圧縮ヘッドと、電極セット、デブリシールド及び放射線コレクタを含む真空容器と、ターボ分子真空ポンプとを含んでいる。支援装置(電子制御部、高電圧電源装置、共振充電器、パワー分散システム、並びに冷却水及びガス制御用の流体管理部を含む)は、リソグラフィ装置とは別の支援機器キャビネット内に配置されており、(これは必要に応じて別の部屋にあってもよい)これら全てを122で示している。粗真空ポンプ及び高圧水ポンプは、第3のキャビネット124内にあるが、これらも別の部屋に配置してもよく、リソグラフィ装置126内には、照明用光学器、レチクル、減速光学器、及びウェーハ取り扱い機器がある。
電極腐食
腐食の最小化
出願人の初期の試作EUV装置を使った実験は、電極腐食が重大な問題であることを示しており、出願人らはこの問題に対処するため幾つかの技法を開発した。出願人らは、第4世代プラズマピンチ装置での実験を通して、放電回路のインダクタンスが、ピンチが生じる時に劇的に大きくなり、電流が大幅に下がり、電極間の電界が大きくなることを発見した。その結果、アノードとカソードの間の、図2A(2)に示すアノードの概ね先端付近で第2のブレークダウンが生じる。これによって、ブレークダウンの箇所に腐食が発生する。出願人らは、腐食が問題とならない箇所でピンチ後放電を促す手段を提供することによりこの問題を最小化することを提案する。プラズマを含むガスを電極間の下方領域に注入するのも、アノード先端から離れた低い位置にピンチ後放電を生成するための1つの技法である。
アノードから腐食された材料のスパッタ置換
出願人らの第4世代装置を使った実験は、長期運転で相当のアノード腐食を示した。上に示したように、これらプラズマピンチ装置の使用を想定した原理は一体化回路生産用のものである。これは、装置が、保守点検の停止時と停止時の間に何日も又は何週間も実質的に継続して作動せねばならないことを意味する。従って、電極寿命を延ばす技法を見つけ出さねばならない。可能性のある技法は、電極の一つ又は両方に電極材料をスパッタリングで付着させるためのスパッタソースを提供することである。図25は、電極腐食に置き換えるためにスパッタされたタングステンを提供する2つのタングステンスパッタソースを示す図である。出願人らは、プレイオン化のために使用される短パルス高電圧駆動電極は、アノードの側面とカソードに集まるスパッタイオンを生成していることを発見した。アノードの側面は電極腐食が最も発生し易い場所でもある。従って、出願人らは、アノード及びカソードと同一材料の、スパッタリングにより腐食されるように専用設計した犠牲電極を設けることを提案する。これら犠牲電極は、スパッタされた電極材料がひどい腐食を被っているアノード及び/又はカソードの領域に向かうように配置される。犠牲電極は、腐食に伴って、容易に交換できるように又は周期的に放電チャンバ内に伸張させられるように設計されるのが望ましい。スパッタされた材料の一部は、絶縁体面にも集まるが、出願人らには、これら装置の絶縁体表面上に堆積したスパッタされたタングステンは問題ないことが分かった。
絶縁体で覆われた電極
出願人らは、実際の実験で、中央電極の腐食は、中央電極の側壁を絶縁体材料で覆うことで大幅に低減できることを発見した。何もしなければ高電流密度に面する電極の部分を絶縁体材料で覆うことにより、ピンチ後放電電流は電極の異なる領域のより広い面積に亘って広がるように仕向けられる。この技法は、電極の当該面積内の電流密度、又はアノード及びカソードそれぞれに対するイオン衝撃を低減するために採用することができる。腐食速度が下がると、デブリ発生が減じ電極の寿命が長くなる。絶縁体を横切る滑り放電による腐食とデブリはなお或る程度は存在するが、電極腐食ほど深刻ではない。高腐食速度に繋がるいわゆる「フラッシュオーバー・アーク放電」は、導電面のみに生じる。従って、電極が絶縁体で覆われている領域では、これはなくなる。
この様に、或る好適な実施形態は、通常のアノード及びカソード構成を有し、内側電極の外径に沿った(ランダウン長)滑り放電の生じることのない濃密プラズマ集束である。代わりに、内側電極は、突き出た長い絶縁体管で覆われ、即ち内側電極の直径が消されている。有効インダクタンスは僅かに増えるが、なお強いピンチが軸上で生じ、EUVが生成される。従来の高密度プラズマ集束装置とは対照的に、内側電極に沿ってランダウンが生じることはない。内側電極の内面も、当該領域のフラッシュオーバー・アーク放電を排除するため絶縁体材料で覆ってもよい。絶縁体は、ピンチサイズとEUV出力を下げないように適当な内径を有していなければならない。
好適な実施形態を図26Aと26Bに示している。図26Aでは、絶縁体60が外側表面を覆っているが、図26Bの実施形態では、外側の絶縁体60に加えて、絶縁体62が内側表面を覆っている。両図共、アノードは64で、カソードは65で示している。
熱分解グラファイト電極
或る好適な実施形態では、図2A(2)に8Aで示すアノードの放電面が熱分解グラファイトで覆われている。アノード本体は銅又はタングステンである。この設計の重要な利点は、タングステン(従来の主なアノード材料)よりも炭素は15倍軽いことである。従って、炭素デブリは、デブリシールド内でずっと簡単に処理できる。更に、グラファイトは溶融せず、蒸発する。グラファイトは、原子グラファイト層が表面に直角に整列し、熱伝導率を改善し腐食を最小限にするように適用されるのが望ましい。熱応力を最小化するために、熱分解グラファイト表面材料と基板電極材料の間に中間層が設けられる。
電極交換
シール付きシャッタ
プラズマ集束ソース構成要素とコレクタが同一チャンバ内に納められている場合、通気を必要とするソースの保守は、コレクタミラー及びデブリトラップに対しては悪影響を及ぼすことになる。これら構成要素を真空の点で2つのチャンバに分離するのが非常に有益である。しかしながら、デブリトラップ及び収集光学機器の位置に関する先行技術による設計は、2つのチャンバの間にゲート弁を設けるのに必要な空間を提供していない。
出願人らは、(電極交換のような)保守のためにソースチャンバを通気する際に、この間コレクタチャンバを(近似)真空下に維持したまま、これを行う技法を開発した。ソースチャンバ69は、コレクタチャンバ70に必要な通気に比べて、もっと頻繁に通気を必要とする。コレクタミラー66とデブリトラップ68は、ソースで保守が実行されている時も、提案されるシャッタを使用することにより保護されることになる。従って、コレクタの(及び、恐らくデブリトラップも)大幅に寿命が延びることになる。本設計ではピンチソース体積71とデブリトラップ及び収集光学器入り口の間は距離を非常に短くする必要があるので、大抵は分離用のゲート弁を入れるのに使える十分な空間がない。コレクタチャンバに向けてシールを施した提案のシャッタを導入すると、これを収容するのに必要な空間は非常に小さくて済む。シャッタは通気されるソースチャンバの雰囲気圧でシール面に押し付けられるので、コレクタチャンバは(近似)真空下に維持される。
本設計の利点を図27Aと27Bに示す。先行技術の図である図27は、ソースとコレクタチャンバを分離するゲート弁72を備えた装置を示している。しかしながら、本設計では、プラズマソース体積から斜入射コレクタ光学器の入口までは100mm以下の距離とする必要があるので、普通は、ゲート弁を収容できるだけの余裕を提供できない。VATのような真空サプライヤから入手される、開口部直径が8インチ(200mm)又は10インチ(250mm)のUHVゲート弁は、フランジからフランジまでの距離が80から100mmである。従って、このようなゲート弁は本設計では省略されている。これは、ソースの保守に通気が必要になる都度、コレクタチャンバも通気されるという点で不都合である。各通気サイクルは、非常に感受性の高いコレクタ光学器にとっては悪影響をもたらす。更に、コレクタチャンバは真空要件がより厳密なので、コレクタチャンバの場合にはソースチャンバに比較してポンプダウン時間が長くなる。ソースチャンバを通気する度に、コレクタチャンバを通気する必要が無くなれば、幾つかの点で好都合であり、即ち、コレクタ光学器の汚染が低減され、光学器の寿命が延びる。保守作業の終了時にコレクタチャンバのポンプダウンが必要無くなるので、システム保守のための停止時間が短くなる。感受性の高いデブリトラップも更に良好に保護される。
図27Bは、提案の機構によるシャッタ74を示しており、ソースからコレクタチャンバまでの真空シールを備えている。シャッタは、コレクタチャンバに面した側に、ゲート弁のプレートのようなOリングシールを有している。このシャッタを収容するのに必要な空間は、20mmだけ、多分10mmもあればよい。ゲート弁とは対照的に、このシャッタは、ソースチャンバにではなく、コレクタチャンバに関してだけ真空シールを提供できる。しかしながら、殆どの場合、ソースチャンバだけに通気が必要なので(図面ではシャッタは閉鎖位置にある状態を示している)、これで十分である。コレクタチャンバを通気する必要がある場合は、ソースチャンバも常に通気することができ、不都合はない(シャッタは開放位置)。
シャッタが閉鎖位置に近づくと、シャッタは、そのOリングシールで、コレクタチャンバのシール面に対してシャッタ端位置付近のノッチ又は突起によって押し付けられる。シール面は、従来は、例えば、デブリトラップ(ホルダ)の外周に位置している。ソースチャンバの通気が始まると、ソースチャンバ内の圧力が上がって、シャッタはそのシール面に対して更に押圧され、押圧力はソースチャンバ内の圧力上昇に伴って増加する。通気開始時には、コレクタチャンバに向かって小さな漏れが存在するかもしれないが、許容できる程度である。ソースチャンバが高い(雰囲気)圧力になると、シャッタ面積が比較的大きいためシャッタをそのシール面に対して押圧する力が大きくなるので、高い真空シールが出来上がる。これは、コレクタ光学器(及びデブリトラップ)を防護するのに十分である。シール用シャッタをコレクタ(又はソース)チャンバ設計に組み込まねばならない(接続用真空フランジのすぐ隣が好適)という点が(取るに足らない)欠点である。しかし、大きな利点は、ゲート弁の余分な2つのフランジとその幅の幾らかのために必要な空間を省けることである。従って、ソースからデブリトラップ/コレクタ入口までの必要な分離寸法が非常に小さい場合でも、このようなシャッタを収容することができる。
交換可能な電極モジュール
電極交換を簡素化するための別の技法は、電極、デブリコレクタ及び第1コレクタを単一モジュールとして交換できるようにEUV装置を設計することである。例えば、図19に示すように、コレクタ42は、アノード、カソード、及びデブリコレクタとコレクタ42から構成されるモジュールの一部である。このシステムでは、保守点検用停止時間を短縮するために、最小の時間でこれら構成要素を一体として取り替えることができる。その結果、腐食により劣化する電極、並びに腐食した材料による汚染のために劣化するデブリコレクタと第1コレクタ光学器を迅速に取替えられるようになる。
最適化された高密度プラズマ集束装置の例
最適化努力
出願人らは、EUV放射線を効率的に生成するために、図2A(1)の断面図に示す第4世代高密度プラズマ集束装置の性能を最適化するよう鋭意努力を重ねた。真空チャンバを備えたシステムの側面図を図2A(3)に示す。調査に含まれる性能パラメータは、HeとXeの圧力と流量、電極の形状寸法、プレイオン化特性、及び衝撃係数関連の性能問題である。この調査の中で、出願人らは、He(バッファガス)とXe(作動ガス)の注入口の位置、並びに混合気成分の圧力と流量がEUV放射効率に強く影響することを見出した。EUV放射のガス吸収性、及びデブリ軽減特性を提供するという要求からガスレシピに対する付加的制約も派生する。これまでで最良の結果は、中央電極を通して軸方向にXeを注入することと結び付けた軸対称バッファガス注入スキームで得られた。得られた最大変換効率は、入力エネルギー12.4Jで0.42%であった。エネルギー安定性の測定値は、最適EUV出力近くで10%の標準偏差を示している。電圧オーバーシュート波形の減衰で決まる駆動回路とピンチの整合は、HeとXe圧力に大きく依存していることが分かった。ソースから排出されたデブリのエネルギー分散X線(EDX)分析は、デブリの主な発生源は中央電極と絶縁体であることを示している。カソード材料に関する証拠は見出せなかった。より効率的な運転に向けての努力に加えて、熱光学の第1段階の努力がはらわれ、従来型直接水冷による200ヘルツでの継続運転に至った。システムは、比例的に低いデューティサイクルで高い繰り返し速度で運転することができる。データは、システム全体を通して熱出力が分散されることを示している。この様に熱出力の流れをより詳しく理解することで、出願人らは、このソース技術の最終的な大量生産の可能性をより良好に判定することができる。
出願人らは、変換効率をもっと熟成したレーザー生成式プラズマソースの変換効率に近づけることで性能における十分なゲインを実証した。光源が満たすべき具体的仕様は、全体照明システムの設計と密に結び付いている。測定すべき要となるソースパラメータは、作動波形、帯域内EUVパワー、帯域外パワー、ソースサイズ;最大収集可能角度、高い繰り返し速度;パルス対パルス繰り返し可能性、及びプラズマに面する構成要素からのデブリ発生である。
DPF開発における出願人らの初期の努力は、この種のソースを駆動するのに必要な基本的パルスパワー技術の開発に向けられた。高い変換効率は、高い貯蔵エネルギー(25J)でLi蒸気を活性放射要素として用いることで実証された。この貯蔵エネルギーは、高い繰り返し速度運転まで実際に試験するには高すぎた。第4世代機の開発によって、出願人らは、Xeを活性種として使用できるようになった。最近の努力は、Xeをソースガスとして用いてDPFの性能を最適化することに焦点を当てている。この努力をやり易くするために、パルスパワー開発、プラズマの開始と特性、EUV測定学、デブリの軽減と特性、熱工学、及びコレクタ光学器開発を研究してきた。
システム説明
出願人らが開発した第4世代高密度プラズマ集束システムは、EUV光生成のためにDPFが必要とする高電圧高ピークパワーパルスを生成するために、Cymerのエキシマレーザーで使用されたものと同様の固体スイッチングと数段階の磁気パルス圧縮(図1に示し、上で説明済み)を備えたパワーシステムを使用している。このシステムは、充電電圧1300Vで始まり、50ns未満の立ち上がり時間で、4kVまでのDPFに印加する出力パルスを生成する。電流測定は直接行わなかったが、通常の実験運転による電圧波形に基づく回路シミュレーションは、出力DPF駆動電流が50kAまでの値でピークになり、dI/dtは675kA/∝sと予測している。この高いピーク電流と高いdI/dtの組合せによって、DPFが効率的に機能できるようになる。
この第4世代装置の最も重要な特徴を、高密度プラズマ集束装置の利点の箇条書きリストと共に図33に説明している。他でも説明しているように、出願人らは、約0.5%の変換効率(中間焦点における帯域内EUV放射の電力入力に対する割合)を実証した。この出願申請時に、出願人らは以下のシステム性能パラメータを実証した。
電流供給源の性能
XeでのEUV効率(2%BW、2πsr) >0.45%
パルス当たりのEUVエネルギー(2%BW、2πsr) 〜55mJ
平均ソースサイズ(FWHM) 〜0.4×2.5mm
ソース位置安定性(エントロイド) <0.05mm、rms
継続繰り返し速度 1000Hz
バースト繰り返し速度 4000Hz
エネルギー安定性 〜7%、rms
平均EUV出力(2%BW、2πsr) 50ワット
EUV出力、バースト(2%BW、2πsr) 200ワット
収集効率は約20ないし30パーセントであり、帯域内放射中の集められたEUVの約半分を、ここに説明する技術を使って中間焦点に届けることができる。従って、中間焦点における実証されたEUV出力は、現時点では継続ベースで約5ワット、バーストモードで200ワットである。ここに説明した改良点を用いると、出願人らは、中間焦点での継続出力は、近い将来少なくとも45.4ワットまで上がり、最終的には105.8ワットにまで上がると予測している。バーストモード性能は、概ね比例的に増す。
6機の4世代DPF機を製作し、システム最適化、プレイオン化、パワーシステム開発、デブリ軽減、熱管理、及びコレクタ設計に関する各種実験用に使用している。高い繰り返し速度(〜1kHz以上)を必要としない実験では、これら機械の充電電力は一組のDC電源装置から抵抗充電で簡単に供給する。高い繰り返し速度能力がまさに必要なDPFシステムは、初期エネルギー貯蔵コンデンサC0を250μs以内で1300Vの電圧まで充電する共振充電システムで充電される。この共振充電システムは、エネルギー回収も実施し、DPFが使用しない又は熱消散するエネルギーを蓄え、この回収したエネルギーを次のパルスに使用する。これにより、主電源装置が必要とする電力量が減り、熱管理など他の問題にも役立つ。
測定
この項では、出願人らは、少なくとも50Hz未満で作動する低衝撃係数ソースのうちの1つについて実行した測定の概略を提示する。出願人らは、EUV出力と変換効率がガスレシピに依存していることを示し、帯域外放射に関するデータを提示し、ソースサイズと位置安定性の測定値を示す。
この1年の内に、EUV出力の電極の形状寸法に対する経験的依存性とガス力学問題をある程度理解する上で、有意な進歩があった。以前の世代と比較して、装置における大きな変化としては、ガスをアノード領域回りに対称的に注入できるようにする新しいカソード設計と、アノード電極を通してHeとXeの混合気を注入するためのシステムが挙げられる。ガス送出システムは、HeとXeの組み合わせがDPFシステムの異なる部分に注入できるように変更した。このシステムの概略を図1に示す。ガス制御は、2つの質量流量制御装置と高精度キャパシタンス・マノメータを介して行われる。システムは定圧力モードで運転される。Xeは一定流量モードで注入され、目標作動圧力に到達するためHe補給ガスが加えられる。このモードでは、Heの流量は、システムのポンプ速度によって決まる。異なるポンプ構成を試験することにより、ガス流量依存性を調べた。
軸に沿ったピンチにより発する放射線は、アパーチャを通り差動ポンプ式診断チャンバ204に入る。測定容器内のガス吸収は、圧力を5mトル未満に維持することにより最小化される。これら測定のために、診断用容器入口は、ピンチ領域から5cmのところにある。主DPF容器内のガス減衰に対する補正は、5cm経路に沿っても診断用容器内においても行わない。ピンチによる放射線は、Mo/Si多層ミラーから反射され、1∝m厚Be箔を通ってコーティングを施していないIRD AXUV−100フォトダイオードに向けられる。代表的な測定順序は、パルスパワーシステム、DPFアノード、及びフォトダイオード上の電圧波形を、実験パラメータの関数として記録することから成る。データ捕捉とガスシステムの制御は、コンピュータインターフェースを介して行われる。
帯域内EUV信号(13.5nm、2%帯域内、2πsr内)のXe流量に対する代表的な依存性を、定作動圧力350mトル、パルスパワーシステムの第1段階コンデンサの充電電圧を固定した場合につき図2A(5)に示す。
Heをアノードの周りに注入し、Xeを20Hzのソース運転でカソードを通して注入した場合、Heを主DPF容器に注入した場合に比較して、ソースからのEUV出力の大幅な増加が観察された。ポンプ容量を増やすことによりHeガス流量を上げることによって、更に改善が見られた。ポンプ速度が速くなったことによる効果は、EUV出力のXe質量流量設定値に対する感受性が下がり、実測EUV出力が上がることである。
Xe流量を一定にし、図1に示す第1コンデンサステージC2の電圧を1300Vにして、He圧力の関数として同様の測定を行った。図2A(6)は、最終ステージコンデンサ(C2)の電圧波形と、Heをアノード周りに注入した場合の帯域内13.5nmフォトダイオード信号を示している。EUV信号はHe圧力に大きく依存している。C2波形を調べると、不足減衰応答のためこのコンデンサが回収するエネルギーは、ガスレシピに依存していることが分かる。同様の依存性は、Xe流量変動の関数として観測された。
ピンチ領域で消散するエネルギーは、C2コンデンサに蓄えられるエネルギーの差から計算される。1500mTのHe圧力では、蓄えられたエネルギーの約70%がピンチ領域(8.8J)で消散するが、一方200mTでは、対応する値は96%(11.9J)である。この依存性を図2A(7)に示しており、フォトダイオード信号、初期に蓄えられていたエネルギー、回収されたエネルギー、及び消散したエネルギーを、He圧力の関数としてプロットしている。EUV信号は、この範囲に亘って約10の倍数で増加している。He分圧が更に減少すると、このデータには示していないがEUV収量に急激な低下が起きる。
ガス圧力に対する依存性の別の興味深い特徴は、フォトダイオードで測定されるEUV放射の開始がずれることである。Xe流量を一定にすると、180mTから1500mTまで圧力が変動すると、EUV放射は150nsずれることになる。DPF作用の古典的なスノウプラウとスラグのモデルから、出願人らは、プラズマ衝撃前線の特徴的な軸方向及び半径方向の移動時間は、有効質量密度の平方根で評価できると予想する。この評価はこの構成に対して確認する必要があり、比例係数は、質量を電極領域から掃きだす際の衝撃前線の有効性に関係する。1次元スノウプラウモデルに基づく、この効果の計算は、軸方向及び半径方向の有効質量は、実際のガス圧力から派生する質量よりもはるかに小さいことを示唆している。
平均帯域内EUVエネルギー及びエネルギー効率の、固定ガス流量条件下における消散エネルギーに対する依存性を図2A(8)と2A(9)及び図4bに示す。これらのデータは、ソース条件をピークEUV出力で最適化して取った。充電電圧を小さくし、且つ他の全てのパラメータを固定したままにすることで、低エネルギー入力が得られた。ここに示すデータは、本実験の最適条件の場合、並びに異なるガスレシピとアノード形状寸法を採用している[1]に提示した構成の場合についてのものである。10Jでは、従来の構成に比較して、変換効率(CE)で70%の増加が得られた。ピンチへと結合されるエネルギーはガスレシピに依存するが、図2A(6)と2A(7)に示すEUVエネルギーの依存性は、主にガス流量の変動によるもので、結合の変化によるものではない。
13.5nmを中心に2%帯域幅の外側にあるEUV放射線に2種類の測定を行った。これらの測定に対する実験的設定を図2A(8)と2A(9)に示している。第1種の測定では、軸上のピンチからの全放射線を、130nmから1300nm帯域で透過するCaF2ウインドウを透過した部分と比較した。その結果は、ピンチから発せられた全放射線の〜0.5%は、CaF2帯域の130nmから1300nmの間にあり、出願人らが得た以前の結果と同様であることを示している。第2の測定では、ピンチから発せられ、1つのMo/Si多層(ML)ミラーで反射され、AXUV−100フォトダイオードにより検出された放射線の部分を、1μmBe箔を透過してMLミラーで反射された放射線の部分と比較した。MLミラーからの反射後フィルタを設置せずにフォトダイオードで測定した信号は、帯域内成分と帯域外成分の合計を与える。Beフィルタを挿入すると、測定を帯域内部分だけに制限する。従って、MLミラー透過分を補正した放射線の帯域内部分を、フィルタ無しの全信号から引くことにより、我々は1つのMLミラーで反射される全放射線の〜15%は、13.5nmを中心とする2%帯域の外にあると結論付ける。
ソースをピーク出力に調整して、ソースサイズと重心運動の測定を行った。背面照明CCDアレイとBeフィルタを採用しているピンホールカメラを使用した。ソース像を図2A(10)に示す。これらの像はカメラを軸上に配置して撮像した。測定は68度の角度でも行った。平均ソースサイズ(100パルスの平均)は、全幅半値で0.25mm×2mmであった。パルスからパルスまでのEUVソース重心変位を図2A(11)にプロットしている。平均変位は約50μmである。
ピンホールカメラ技法を使えば、各フレーム内の強度を積分し、この量の標準偏差を計算することにより、EUVエネルギー安定性の推定を得ることができる。結果は9.5%(1J)強度変動を示している。この測定値を、Beフィルタ、MLミラー、及びAXUV−100フォトダイオードを使った標準測定で行ったエネルギー安定性の測定値と詳しく比較する。この診断で行われる追加的実験には、帯域内エネルギーでEUVソースサイズを補正することが含まれることになる。
軸上像から、我々は、更に、ピンチとアノード端壁の相互作用によって始まるEUV生成は無いと結論付ける。最大EUV強度は、Xeガスがアノードのアパーチャを通して注入されるピンチの中心に観測される。アノード端壁に接するピンチの周辺部ではEUV放射は観測されない。
高い繰り返し作動
高い繰り返し速度でソースを安定して作動させることは、高露光線量及び正確な線量制御にとって重要である。この第4世代光源のバーストモード作動は改良された。入力エネルギーを10Jとした(Cymerのエキシマレーザーが採用したものと同様)共振充電スキームを使うと、最大バースト放射期間は繰り返し速度2kHzで300パルスまで増加した。
EUVパルスの時間積分帯域内エネルギーを、上記多層ミラー−Be箔−フォトダイオード検出スキームを使って測定した。帯域内エネルギー対パルス数のデータを図2A(12)に示す。ガス混合気の変化無しに、繰り返し速度が低速から高速に上がると、EUV出力エネルギーの大きな低下が観測され、バーストパルス数が増加する。ガスレシピを適当に調整することにより、2kHzの繰り返し速度で300パルス長のバーストに備えた比較的安定したEUVエネルギーを得るために、出力を調整することができた。図に示すように、約10−15パルスの間続く移行期間の後、出力エネルギーは、バーストの残り期間の間、高い値に留まる。このモードにおけるエネルギー安定性の対応する実測標準偏差は10%である。現段階では、高い繰り返し速度の運転に対する基本的改善限界には達しておらず、アップグレードされたパルスパワー及び熱管理スキームによって更なる性能改良が可能であろう。
デブリ軽減
出願人らは、デブリの主要な源及びコレクタ光学器上のデブリ堆積速度を判定しようとする試みの一環として、MoとPdをコーティングしたウェーハを、DPFで生成されるデブリに曝した。この試験のソースは、タングステンのアノード、アルミナの絶縁体、及び真ちゅうのカソードで構成した。サンプルは、ピンチから5cm(Moサンプル)及び11cm(Pdサンプル)離して30Hzで4.105パルスに曝した。配列配置の寸法を図10に示す。露出後、サンプルをEnergy Dispersive X-Ray (EDX)分析で分析した。その結果を下表1にまとめているが、ここではアノード(W)と絶縁体(O、Al)材料は、5cmと11cm、2つの距離にあることが分かる。
カソード材料には何ら徴候が見られなかった。5cm離れたMoサンプルでは微量のXeが見出された。これは、DPFで生成された強力なXeイオンか、又は薄膜コーティングに組み込まれた単なるXeの徴候である。Heの存在はEDXでは検出されなかった。5cmの距離に弱いが検出可能なMo信号が存在するということは、堆積したデブリが0.5∝mないし2.0∝mの厚さの間にあることを示しており、これはEDX分析の代表的な透過深度である。これから、我々は、デブリ生成速度が、ピンチから5cm離れた軸上で、パルス当たり1−4.10−3nmであると推定する。
DPFにより生成されたデブリの堆積を特徴付けるため、簡単な光学技法を試験した。スペクトルの可視領域における金属の吸収は一般的に高い。認識可能な透過率が生じる限界に対応する光学的厚さは、一般には4分の1波長より遙か小さいので、この領域では干渉縞は観測されない。ランベルト・ベールの法則によれば:
T=e―α*L
で、ここに、Tは透過率、αは吸収係数、Lは膜厚である。従って、Log10(1/T)で定義される吸収率Aは、αがLに依存していない場合は、膜厚に比例する。Lがパルスの数に比例する場合は、DPFにより生成されたデブリによる透明なサンプル上のコーティングの吸収率をパルス数の関数として測定することにより、パルス当たりのデブリ堆積速度が求められる。この比例性の実験的検証を図11にプロットしている。
吸収率の測定によって、異なるDPF作動条件下で、証明用サンプルに関するデブリ堆積速度を比較することができる。我々は、この方法を、デブリの角度的分布を得るため、並びにデブリシールドの挿入によるデブリ軽減因数を得るための主要な手段として使用した。
デブリシールドコンセプトの有効性を評価するために、単純な単一チャネル試験用装置を設計し製作した。形状と重要な寸法を図2A(15)に示す。ガラスサンプルを、ピンチから6cmの距離に、ピンチに直接面して、又は直径1mmのチャネルを穿孔した一連の金属筒の後、の何れかの条件で配置した。試験はチャネル長1cmと2cmで行った。試験中、主容器にヘリウムを注入してチャンバ内の総圧力を0.7トルとし、アノードを通してXeを注入した。作動条件を同一にしデブリシールド長を変えて同一数のパルスに曝したサンプルについて、吸収技法を使用してデブリ膜厚を比較することにより、デブリ軽減因数(F)を計算することができる。サンプルを何ら防護無しで置いた場合をF=1と定義すると、Fはデブリシールドがどのように効果を発揮するかを示す。1cm及び2cm厚の単一チャネル装置の場合における実験結果を図2A(17)にプロットしている。これらの結果は、cmシールド長当たり低減因数が100であることを示している。これらの結果を、図2A(16)に示す、より現実的な多チャネルデブリシールドについて測定した軽減因数と比較することもできる。試作シールドは、ステンレス鋼から電子放電加工(EDM)で製作した。データは、この条件下で、1cm長の多チャネルシールドについて測定した軽減因数は、単純な1cm単チャネル装置に匹敵することを示している。これにより、我々は、この種のデブリシールドの、実際のソース運転に必要な長さに対する判定に自信を得た。
熱工学
水冷式電極、即ちDPF放電領域に対する熱管理対策の開発における第1段階を、出願人らの第4世代EUV光源について設計し試験した。これら電極は、以前に実現されたよりも相当に高い定常状態繰り返し速度におけるDPF運転の研究を可能にし、各電極での熱エネルギーの消散を示す熱量測定データを作り出した。
カソードは、4つの別々の送出及び排出ループを、環状溶接物の4分円それぞれにつき1つずつ有している。各4分円内の流れは同じになるように配置されている。カソードは、水で内部的に冷却される面積が最大になるように、そしてプラズマで熱せられた壁を通る伝導経路が最小になるように設計され、良好な機械的特性を備え高い熱伝導率を有する銅合金で製作した。400kPaでは、カソード内の合計水流は毎分3.8リットルである。水冷式電極を図2A(18)に図解的に示す。アノードは、溶接されたアッセンブリの本体に形成された2つの同心環状チャネルに水を流すことにより冷却される。これにより、プラズマで最も激しく加熱される部品の領域の非常に近くに水を送り込むことができるようになる。水は、比較的高圧でこの電極を通して送り込まれ、高い水の流量を与え、熱流束が最も高い領域に望ましいな温度勾配を維持する。最近の試験では、水はアノードを通して100kPaで送り込まれ、流量は毎分11リットルである。
水冷式電極の試験は、ショートバーストで数百ヘルツまで、そして200Hzまでの定常状態繰り返し速度に対して実施した。これまでの結果は、まだ測定していないが広く理解されている他のシステムの熱損失を考慮すると、電極冷却システム上での実測電気エネルギー入力と実測熱負荷の間には、合理的な相関が存在することを示している。電極から水中へ出て行く熱エネルギーは、アノードとカソードの間で均等に分割されているわけではない。通常、カソードはアノードよりも多くの熱を取り除く。データは、繰り返し速度が上がるにつれ、カソードが高い割合で熱を取り除くことを示唆している。繰り返し速度が上がるにつれて、アノードの温度がカソードの温度よりも速く上昇し、アノード材料の熱伝導性の対応する低下が大きいことから、これは予想されることである。カソードは、アノードよりも、冷却面積が遙かに広く、熱伝導経路が短く、熱伝導率がずっと高い。各電極で取り除かれる熱の割合を図2A(19)に示す。
実証されたソースパラメータの概要を図2A(20)に示す。この1年の間に、出願人らは、新しいDPFソースを5個製作すると共に、既存の第4世代システムに改良を施して、Cymerの作動システムの合計数を6個にした。主にガスレシピとガス注入形状を最適化することにより、変換効率に大きな改善を施した。2πsr、2%帯域幅への最高達成変換効率は、〜10.5J及び低い繰り返し速度で、〜0.4%であった。我々の証明済みの共振充電器技術を使って、2kHzでの300パルスのバーストに対して、安定したEUV出力が実証された。これまでに行われた実験は、ガス送出システムを引き続き最適化することにより、更なる改善が可能であることを示唆している。エネルギー安定性は引き続き〜10%(1σ)で、改善が求められる。帯域外放射は、改良されたCEソースの場合は<0.5%である。
ピンチに曝した証明用サンプル上に集められたデブリの特性は、主としてアノード材料(W)及びアノード絶縁体材料(Al、O)の堆積であることを示している。カソード材料の証拠は何ら見られない。単チャネル及び多チャネルデブリシールドの場合のデブリ軽減因数の測定値は、cmシールド長当たり100xの軽減因数を示している。この結果を軽減因数108に外挿すると、4−5cmのシールドが必要となることを示唆している。
200Hzでの継続運転の場合の電極からの熱抽出の測定値は、電力の約60%がカソードで消散され、40%がアノードに行くことを示している。これは、繰り返し速度500Hz、全入力エネルギー10Jでは、アノード電極から約20kWを抽出する必要があることを示唆している。0.4%CEを使用するこの条件では、全帯域内放射電力200Wが2%BWとソースにおける2πsrという計算になる。ソース放射を減衰させる全ての下流構成要素に対して適当な軽減因数を使用せねばならない。
他の改良点
二重目的コレクタ
EUVミラーの反射損失が大きいため、EUVリソグラフィ用の照明システムではミラー個数を最小限にすることが強く望まれる。特別に設計された表面は、ビーム均質化特性の様な付加的特性を有することができる。このような特性の1つは、反射ディフューザを上記型式の斜入射コレクタに加えることである。
ピンチを制御するため磁界とプレイオン化装置を使用
出願人らは、ピンチサイズと位置を制御するのに磁界を使用できることを実証した。或る実施形態では、ピンチ領域の上方に配置された永久磁石がピンチ長を短縮する。磁石は、図28Aに示す様に、アノードにも配置することができる。ピンチの閉じ込めを図る場合にも磁界を掛けることができる。出願人らは、更に、図2A(2)に示す様に、プレイオン化装置138からのプレイオン化信号を加減することによってピンチの形状と位置を制御できることを実証した。
金属を含む溶液のターゲット
リチウム及び錫のような金属は、13.5nm範囲の放射線を生成するのに良好な活性ガスを作る蒸気を提供する。しかしながら、金属蒸気の取り扱いは難しい。ピンチ部位でターゲット材料を提供する技法は、金属含む溶液を作り、ターゲットを液相で注入することである。
金属を含む溶液を放電チャンバに挿入する時は、送出に備えて金属を加熱する必要はない。ターゲットの送出は、いわゆる質量限定法で行われ、即ち、必要以上の質量ではなく正確な量の金属(粒子)を送り出す。これにより、余分な粒子が残らず、望ましくないデブリがソースにより生成されることはない。十分に高い背圧が掛けられる場合は、ターゲット材料はノズルから液体ジェットの形態で送出される。この様にして、放電領域に送出され、放電チャンバ全体がターゲット材料で充満するのを回避することができる。懸濁液又は液体中のコロイド状粒子、又は液体状の粒子を使用するので、ターゲット密度は金属蒸気の場合よりも遙かに高くなる。液体中の金属成分の正しい濃度を選択することにより、最適化された質量限定金属ターゲットを提供することができる。例えば、ヒートパイプ原理に基づいて、チャンバ内に液体を単に注入することの方が、金属蒸気送出システムを形成するよりもずっと簡単でもある。硝酸錫は、13.5nmから14nmのEUV光生成にとっては効率的なターゲットである。
EUV出力とプレイオン化における改良は、図28B下に示すように、パルス磁界が取り付けられたコイルで印加される際に観測された。コイル電流パルスを図30に示す。このパルスは、アノードの端で200から500Gの間の磁界を生成する。プレイオン化における改良は、図29Aのアノード波形で示すように見られた。C2波形における対応する変化を図29Bに示す。パルス磁界を印加した結果、図29Aに示すアノード電圧の低下により証明される様に、アノードカソード領域のプレイオン化密度が高くなった。EUV出力はパルス磁界と共に増大した。帯域内EUV波形を図29CにBオンとオフについて示す。EUV出力が、パルス磁界が印加された状態で入力エネルギーに全面的に依存していることは、図29Cの上の曲線に示されている。下の曲線は、パルスB磁界のない場合である。図2A(9)は、ガスポンピング、プレイオン化変更、及び磁気効果を使用したプラズマ力学を含めここで説明した電極形状の改良による効率の改善を示している。
金属ターゲットは、液体、流体、溶液、又は懸濁液で送出することができる。化合物は、所与の(背)圧、及び室温、例えば約10℃から約50℃までの温度で液体でなければならない。この技法を、高密度プラズマ集束(DPF)、Zピンチ、HCTピンチ(=中空カソードトリガ式ピンチ)又は毛管放電のような、EUV又はX線放射を作り出すことのできるピンチ式(=磁気的自己圧縮)放電に適用する。液体は、例えば図18の事例であるが、放電装置がDPFの場合は、放電装置の先行ガス注入口を通して送出することができる。図23に示す別の実施形態では、液体は、高圧で、又は非常に高い圧力(約80atm)のヘリウムガスに押されて、非常に小さい孔(約50μmから約10μm)のジェットノズルを通して放電領域に送出することができる。こうして、金属を含む液体が細い液体ジェットに閉じ込められる。ジェットは、放電のピンチ領域を横断する。効率的なピンチ放電の展開を促進するため、追加のガスを挿入してもよい。液体と気化したガスは、真空ポンプを用いて近くの排出口から吸い出すことができる。ノズル内又は内側電極を介してのノズル膨張は、代わりに、一連の液滴を形成すること、又は(より拡散的な)液体スプレイ膨張として実施してもよい。液体は、溶液で希釈した状態で最適濃度の金属を放電領域に送出する簡単な手段を提供する。金属蒸気を供給するために金属を加熱するのが回避できる。
好適な金属は、約13nmから約15nmの領域で効率的にEUVを生成する金属である。リチウム、錫、インジウム、カドミウム、銀がそうである。リチウム(Li2+)は13.5nmに強力な遷移を有する。錫(Sn)、インジウム(In)、カドミウム(Cd)、銀(Ag)は、13nmから15nm波長領域内で重なる幾つかのイオン種による強力な4d−4f遷移配列を有する。(13nmから15nmの範囲を離れると、EUVリソグラフィ用の多層ミラーのピーク反射率は低下するが、同時にそれらの帯域幅は広がる。従って、総合的反射強度は依然大きく、約14nmを超える波長がここでは依然重要である。)好適な溶液は、イソプロパノール、メタノール、エタノールなどのアルコール類、並びに水やグリコールである。好適な化合物は、例えば、水に溶かしたフッ化リチウム、塩化リチウム、臭化リチウム、及びその塩類である。Sn、In、Cd及びAgの場合は、好適な溶液は、同様に塩素溶液、臭素溶液、フッ素化合物である。加えて、金属硫酸塩及び硝酸金属塩が挙げられる。
硝酸錫(Sn(NO3)4)は、最も関心のある化合物の1つである。硝酸インジウム(In(NO3)3)、硝酸カドミウム(Cd(NO3)2)、及び硝酸銀(Ag(NO3))も同様である。溶液中又は懸濁液中のナノ及びマイクロ粒子も使用できる。送出用に液体を全く使用せずに、このようなナノ及びマイクロ粒子を乱流によってヘリウムのガス流に挿入することも考えられる。
電子衝撃による追加的EUV光
出願人らは、そのプラズマピンチにより生成された帯域内光を、強力な電子衝突により生じた光で補うことを提案する。
適切な吸収端を有する固体に強力な電子衝突を加えることにより生成される制動放射(=軟X線放射)は、ガス状ピンチプラズマで生成されるEUV放射線に加えて、別のEUV放射線を生成する。これが概略的着想である。例えば、我々のDPFソースの場合、中央電極に正の極性を持たせて(=アノード)作動させると、(数keVの電子エネルギーを有する)電子ビームが生成され、これが中央電極の前方内側に衝突する。13.5nm放射線の場合、Si(シリコン)が置くのに適した材料である。シリコンL−吸収端は13.5nmに生じる。従って、強力な電子は13.5nmの放射線を生成する。これは、ピンチプラズマ内のキセノンイオンにより生成される主に13.5nmの放射線に対する完全な追加となる。従って、アノードの中央内側部分(一般的には、電子ビームが衝突する場所)がシリコン製である場合は、より多くのEUV放射線が生成される。10keVの電子の運動エネルギーは、最適効率にほぼ合っている。例えば、シリコンをタングステンアノードの内側に置く。衝突位置にシリコンコーティングが施されていない場合(=現作動モード)は、吸収端(例えば、タングステン)の整合性は無く、必然的に13.5nmで発生する追加の放射線はない。ここではシリコンが最も重要であるが、この原理は他の波長では他の材料にも適用できる。(例えば、Be K端で11.5nmの放射線を生成するにはベリリウムを挿入する。)この技法を示すスケッチを図24に載せている。
スパッタリングにより生成される金属蒸気
好適な実施形態では、活性ガス(リチウム又は錫の蒸気)とプレイオン化が、単一システム内で提供される。この事例では、金属ターゲットは放電でスパッタされ、金属蒸気を生成し、更に主放電を促進するのに必要なイオン化も作り出す。スパッタ電力供給源は、信号発生器、100ワット線形RF増幅器、及び2000ワットコマンド増幅器であるのが望ましい。固体リチウム又は錫のターゲットは、中央電極の中空部に配置され、スパッタ放電はこのターゲットに向けられるのが望ましい。
例えば、出願人らの第4世代EUVソースは、図19の中間焦点に約5ワットの帯域内EUVエネルギーを生成する。出願人らは、将来的な設計として、既存の技術を使ってこの5ワットを約45.4ワットまで高めることに期待を持っている。しかしながら、EUVリソグラフィ媒体の設計者の中には、100ワットよりも高いパワーレベルに対する要望を表明している者もある。出願人らは、ここに説明した技術を使って、2つのEUVソースを組み合わせて1つのEUVシステムにすることによりこれを達成することを提案する。
波長範囲
本願に論じる各種実施形態は、とりわけ、12から14nmの間のスペクトル範囲の紫外線を生成するための光源という点で説明してきた。これは、ミラーサプライヤが、この波長範囲内のUV光用として多層の近標準ミラーの開発に実質的に成功したことを報告しているためである。代表的には、これらのミラーは、12から14nm範囲での最大反射率が約0.6から0.7であり、ミラーは、具体的ミラー設計にもよるが、約0.6nmのFWHM帯域幅を有するのが一般的である。従って、通常のミラーは、12nmから14nmの間のスペクトル範囲の一部しかカバーできない。
この理由から、ソースのスペクトル出力を、リソグラフィスキャナ機のミラーのようにビームの方向決めに使用されるミラーの反射率のスペクトル範囲に注意深く一致させることが非常に重要である。
本明細書の教示は、現在の極UVへの注意の殆どが焦点を当てている12nmから14nmの範囲よりもずっと広いスペクトル範囲に適用できるものと理解されたい。例えば、11nm範囲用に良好なミラーを製造することができれば、14nm範囲以上約50nmまでの波長で上記ピンチ装置を使用する場合に好都合であろう。将来的には、投影リソグラフィを約5nmまで下げることが可能となろう。更には、X線近接リソグラフィに進めば、光源を約0.5nmにまで下げる場合には、ここに説明した技法を使用することができる。
投影リソグラフィでは、活性材料は、投影に使用されるミラーの反射率範囲内に、極UVスペクトル全体を通して利用可能な少なくとも1本の良好な輝線があるように選択する必要がある。良好な線は、近接リソグラフィについては、0.5nmまで下がって適用可能な範囲内でも利用可能である。従って、出願人らは、ここに示したコンセプト及び着想の多く又は殆どは、約0.5nmから約50nmまでのスペクトル範囲を通して有用であるものと確信する。
上記実施形態は、本発明の原理の適用を代表する多くの考えられる特定の実施形態のうちの数例を説明したに過ぎないと理解頂きたい。例えば、作動ガスを再循環させる代わりに、リチウムを捕捉しヘリウムを排気するだけのほうが望ましい場合もあろう。タングステンと銀以外の他の電極コーティングの組み合わせも使用可能である。例えば、銅又はプラチナ電極とコーティングも利用可能である。プラズマピンチを生成するための他の技法を、上記特定の実施形態の代わりに用いてもよい。それら他の技法の幾つかは、本明細書の背景技術の項で引用した特許に説明されており、それらの説明を全て、本願に参考文献として援用する。高周波高電圧の電気的パルスを生成する多くの方法が利用可能であり、使用できる。或る別の方法は、光管を室温に保ち、リチウムとタングステンの両方を、この光管の長さに亘って下に移動しようとする際に凍結させる。この凍結コンセプトは、原子は衝突すると永久的に光管に取り付くことから、リソグラフィツール内で使用される光学構成要素に到達するデブリの量を更に減らすことになる。リソグラフィツールの光学器上への電極材料の堆積は、主放電チャンバ内の小さなオリフィスを通して放射スポットを再結像し、差動ポンピング装置を使用するようにコレクタ光学器を設計することにより、防ぐことができる。ヘリウム又はアルゴンは、第2チャンバからオリフィスを通し第1チャンバに供給することができる。このスキームは、銅蒸気レーザーの出力ウインドウ上への材料の堆積を防止するのに効果的であることが示されている。水酸化リチウムをリチウムの代わりに使用してもよい。この装置は、作動ガスを電極に通さずに静止充填システムとして運転してもよい。無論、単パルスから毎秒約5パルスまで、更には毎秒数百又は数千パルスまでの非常に範囲の広い繰り返し速度範囲が可能である。必要に応じて、固体リチウムの位置を調整するための調整機構は、中央電極の先端の位置が先端の腐食を考慮して調整可能となるように、変更を加えてもよい。
上記のもの以外にも、他に多くの電極配置が可能である。例えば、外側電極は、円筒形ではなく、ピンチに向かって直径が大きくなる円錐形状にしてもよい。また、幾つかの実施形態の性能は、内側電極が外側電極を越えて突き出るようにすることにより改善することもできる。これは、スパークプラグ又は当技術では周知の他のプレイオン化装置を用いて行うことができる。別の好適な代替形態は、外側電極として、概ね円筒形又は円錐形を成すように配置されたロッドの配列を使用することである。この方式は、結果的に生じる誘導安定化のため、電極軸を中心とした対称ピンチを維持するのに役立つ。
従って、読者には、本発明の範囲を、上記例によってではなく、特許請求の範囲に述べる内容及びその法的等価物によって判断されることを要請する。

Claims (10)

  1. レーザー生成プラズマ極紫外(EUV)光源を含む装置であって、当該EUV光源は、
    活性ガスの流れを供給する活性ガス源と、
    プラズマ照射位置において生成されるEUV光を集める形状及び配置で設けられたEUV光コレクタと、
    プラズマ源材材料を照射するパルスの光ビームを生成し、当該プラズマ源材材料を照射するパルスの光ビームを前記プラズマ照射位置に供給するシステムと、
    を含んでおり、
    前記プラズマ源材料は錫の液滴を含み、
    水素を含む洗浄用のバッファガスを含んでおり、
    前記EUV光コレクタは、斜入射コレクタであり、前記洗浄用のバッファガスは前記斜入射コレクタの下流領域に供給されることを特徴とする装置。
  2. 前記プラズマ源材料を照射する光ビームは前パルスのビームであり、前記システムは、プラズマ源材料を照射する主パルスの光ビームをも生成し、そして、当該プラズマ源材料を照射する主パルスの光ビームをプラズマ照射位置へ供給する、請求項1に記載の装置。
  3. 前記洗浄用のバッファガスは、少なくとも20%の水素を含んでいる、請求項1に記載の装置。
  4. 前記洗浄用のバッファガスは、20乃至50%の水素を含んでいる、請求項1に記載の装置。
  5. さらにデブリシールドを含んでいる、請求項1に記載の装置。
  6. レーザー生成プラズマ極紫外(EUV)光源を含む装置であって、当該EUV光源は、
    活性ガスの流れを供給する活性ガス源と、
    プラズマ照射位置において生成されるEUV光を集める形状及び配置で設けられたEUV光コレクタと、
    プラズマ源材材料を照射するパルスの光ビームを生成し、当該プラズマ源材材料を照射するパルスの光ビームを前記プラズマ照射位置に供給するシステムと、
    を含んでおり、
    前記プラズマ源材料は質量限定された金属の液滴を含んでおり、
    水素を含む洗浄用のバッファガスを含んでおり、
    前記EUV光コレクタは、斜入射コレクタであり、前記洗浄用のバッファガスは前記斜入射コレクタの下流領域に供給されることを特徴とする装置。
  7. 前記プラズマ源材料を照射する光ビームは前パルスのビームであり、前記システムは、プラズマ源材料を照射する主パルスの光ビームをも生成し、そして、当該プラズマ源材料を照射する主パルスの光ビームをプラズマ照射位置へ供給する、請求項6に記載の装置。
  8. 前記洗浄用のバッファガスは、少なくとも20%の水素を含んでいる、請求項6に記載の装置。
  9. 前記洗浄用のバッファガスは、20乃至50%の水素を含んでいる、請求項6に記載の装置。
  10. さらにデブリシールドを含んでいる、請求項6に記載の装置。
JP2013097975A 2002-04-10 2013-05-07 極紫外線光源 Pending JP2013179073A (ja)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US10/120,655 US6744060B2 (en) 1997-05-12 2002-04-10 Pulse power system for extreme ultraviolet and x-ray sources
US10/120,655 2002-04-10
US10/189,824 2002-07-03
US10/189,824 US6815700B2 (en) 1997-05-12 2002-07-03 Plasma focus light source with improved pulse power system
US41980502P 2002-10-18 2002-10-18
US60/419,805 2002-10-18
US42280802P 2002-10-31 2002-10-31
US60/422,808 2002-10-31
US10/384,967 US6904073B2 (en) 2001-01-29 2003-03-08 High power deep ultraviolet laser with long life optics
US10/384,967 2003-03-08
US10/409,254 2003-04-08
US10/409,254 US6972421B2 (en) 2000-06-09 2003-04-08 Extreme ultraviolet light source

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010110262A Division JP2010182698A (ja) 2002-04-10 2010-05-12 極紫外線光源

Publications (1)

Publication Number Publication Date
JP2013179073A true JP2013179073A (ja) 2013-09-09

Family

ID=29741190

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003584754A Pending JP2005522839A (ja) 2002-04-10 2003-04-09 極紫外線光源
JP2013097975A Pending JP2013179073A (ja) 2002-04-10 2013-05-07 極紫外線光源

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003584754A Pending JP2005522839A (ja) 2002-04-10 2003-04-09 極紫外線光源

Country Status (6)

Country Link
US (4) US6972421B2 (ja)
EP (1) EP1493039A4 (ja)
JP (2) JP2005522839A (ja)
KR (1) KR101038479B1 (ja)
AU (1) AU2003230870A1 (ja)
WO (1) WO2003087867A2 (ja)

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7856044B2 (en) 1999-05-10 2010-12-21 Cymer, Inc. Extendable electrode for gas discharge laser
US6972421B2 (en) * 2000-06-09 2005-12-06 Cymer, Inc. Extreme ultraviolet light source
JP2002163005A (ja) * 2000-11-29 2002-06-07 Nikon Corp 制御系の設計方法、制御系、制御系の調整方法及び露光方法
US7671349B2 (en) 2003-04-08 2010-03-02 Cymer, Inc. Laser produced plasma EUV light source
FR2841684B1 (fr) * 2002-06-28 2004-09-24 Centre Nat Rech Scient Source de rayonnement, notamment ultraviolet a decharges
US7002168B2 (en) * 2002-10-15 2006-02-21 Cymer, Inc. Dense plasma focus radiation source
DE10308299A1 (de) * 2003-02-26 2004-09-16 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Düsenanordnung
US7034320B2 (en) * 2003-03-20 2006-04-25 Intel Corporation Dual hemispherical collectors
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US7217941B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Systems and methods for deflecting plasma-generated ions to prevent the ions from reaching an internal component of an EUV light source
DE10325151B4 (de) * 2003-05-30 2006-11-30 Infineon Technologies Ag Vorrichtung für die Erzeugung und/oder Beeinflussung elektromagnetischer Strahlung eines Plasmas
TWI237733B (en) * 2003-06-27 2005-08-11 Asml Netherlands Bv Laser produced plasma radiation system with foil trap
EP1642482B1 (en) * 2003-06-27 2013-10-02 Bruker Advanced Supercon GmbH Method and device for producing extreme ultraviolet radiation or soft x-ray radiation
US7230258B2 (en) * 2003-07-24 2007-06-12 Intel Corporation Plasma-based debris mitigation for extreme ultraviolet (EUV) light source
US7446329B2 (en) * 2003-08-07 2008-11-04 Intel Corporation Erosion resistance of EUV source electrodes
EP1624467A3 (en) * 2003-10-20 2007-05-30 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US7135692B2 (en) * 2003-12-04 2006-11-14 Asml Netherlands B.V. Lithographic apparatus, illumination system and method for providing a projection beam of EUV radiation
DE10359464A1 (de) * 2003-12-17 2005-07-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zum Erzeugen von insbesondere EUV-Strahlung und/oder weicher Röntgenstrahlung
EP1697035B1 (en) * 2003-12-22 2017-11-15 Warren H. Finlay Powder formation by atmospheric spray-freeze drying
US7251012B2 (en) * 2003-12-31 2007-07-31 Asml Netherlands B.V. Lithographic apparatus having a debris-mitigation system, a source for producing EUV radiation having a debris mitigation system and a method for mitigating debris
JP4535732B2 (ja) * 2004-01-07 2010-09-01 株式会社小松製作所 光源装置及びそれを用いた露光装置
US7423275B2 (en) * 2004-01-15 2008-09-09 Intel Corporation Erosion mitigation for collector optics using electric and magnetic fields
CN1918667A (zh) * 2004-02-12 2007-02-21 独立行政法人科学技术振兴机构 软x射线加工装置以及软x射线加工方法
JP2005235959A (ja) * 2004-02-18 2005-09-02 Canon Inc 光発生装置及び露光装置
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source
WO2005089131A2 (en) * 2004-03-17 2005-09-29 Cymer, Inc. Lpp euv light source
US20050211910A1 (en) * 2004-03-29 2005-09-29 Jmar Research, Inc. Morphology and Spectroscopy of Nanoscale Regions using X-Rays Generated by Laser Produced Plasma
US20050223973A1 (en) * 2004-03-30 2005-10-13 Infineon Technologies Ag EUV lithography system and chuck for releasing reticle in a vacuum isolated environment
JP4683231B2 (ja) * 2004-06-24 2011-05-18 株式会社ニコン Euv光源、euv露光装置、及び半導体デバイスの製造方法
US7183717B2 (en) * 2004-07-09 2007-02-27 Energetiq Technology Inc. Inductively-driven light source for microscopy
EP2187711B1 (en) * 2004-07-09 2012-01-18 Energetiq Technology Inc. Inductively-driven plasma light source
US7199384B2 (en) * 2004-07-09 2007-04-03 Energetiq Technology Inc. Inductively-driven light source for lithography
US7948185B2 (en) 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US7307375B2 (en) * 2004-07-09 2007-12-11 Energetiq Technology Inc. Inductively-driven plasma light source
US7302043B2 (en) * 2004-07-27 2007-11-27 Gatan, Inc. Rotating shutter for laser-produced plasma debris mitigation
US7586097B2 (en) 2006-01-05 2009-09-08 Virgin Islands Microsystems, Inc. Switching micro-resonant structures using at least one director
US7626179B2 (en) 2005-09-30 2009-12-01 Virgin Island Microsystems, Inc. Electron beam induced resonance
US7791290B2 (en) * 2005-09-30 2010-09-07 Virgin Islands Microsystems, Inc. Ultra-small resonating charged particle beam modulator
DE102004042501A1 (de) * 2004-08-31 2006-03-16 Xtreme Technologies Gmbh Vorrichtung zur Bereitstellung eines reproduzierbaren Targetstromes für die energiestrahlinduzierte Erzeugung kurzwelliger elektromagnetischer Strahlung
US7541121B2 (en) * 2004-10-13 2009-06-02 Infineon Technologies Ag Calibration of optical line shortening measurements
ITVE20040038A1 (it) * 2004-10-21 2005-01-21 Domiziano Mostacci Apparecchiatura per la produzione endogena di radioisotopi, particolarmente per diagnostica tomografica ad emissioni di positroni.
JP2006156359A (ja) * 2004-10-27 2006-06-15 Kumamoto Univ プラズマ発生装置およびスペクトル制御方法
US7109503B1 (en) * 2005-02-25 2006-09-19 Cymer, Inc. Systems for protecting internal components of an EUV light source from plasma-generated debris
US7145132B2 (en) * 2004-12-27 2006-12-05 Asml Netherlands B.V. Lithographic apparatus, illumination system and debris trapping system
SG123767A1 (en) * 2004-12-28 2006-07-26 Asml Netherlands Bv Lithographic apparatus, illumination system and filter system
US7196343B2 (en) * 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
US7868304B2 (en) * 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
DE102005007884A1 (de) * 2005-02-15 2006-08-24 Xtreme Technologies Gmbh Vorrichtung und Verfahren zur Erzeugung von extrem ultravioletter (EUV-) Strahlung
US7679027B2 (en) * 2005-03-17 2010-03-16 Far-Tech, Inc. Soft x-ray laser based on z-pinch compression of rotating plasma
DE102005014433B3 (de) * 2005-03-24 2006-10-05 Xtreme Technologies Gmbh Verfahren und Anordnung zur effizienten Erzeugung von kurzwelliger Strahlung auf Basis eines lasererzeugten Plasmas
US7502095B2 (en) 2005-03-29 2009-03-10 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
TWI330762B (en) * 2005-03-29 2010-09-21 Asml Netherlands Bv Seal of a lithographic apparatus, lithographic apparatus, device manufacturing method and data storage medium
US7372623B2 (en) * 2005-03-29 2008-05-13 Asml Netherlands B.V. Multi-layer spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
DE102005015274B4 (de) * 2005-03-31 2012-02-23 Xtreme Technologies Gmbh Strahlungsquelle zur Erzeugung kurzwelliger Strahlung
EP1869424A4 (en) * 2005-04-11 2015-01-14 Terumo Corp METHOD AND DEVICE FOR CLOSING A LAYER WEBSECTED EFFECT
US7233010B2 (en) * 2005-05-20 2007-06-19 Asml Netherlands B.V. Radiation system and lithographic apparatus
DE102005025624B4 (de) 2005-06-01 2010-03-18 Xtreme Technologies Gmbh Anordnung zur Erzeugung von intensiver kurzwelliger Strahlung auf Basis eines Gasentladungsplasmas
US8873021B2 (en) * 2005-06-14 2014-10-28 Koninklijke Philips N.V. Debris mitigation system with improved gas distribution
WO2007002170A2 (en) * 2005-06-21 2007-01-04 Starfire Industries Llc Microdischarge light source configuration and illumination system
JP4618013B2 (ja) * 2005-06-23 2011-01-26 ウシオ電機株式会社 極端紫外光光源装置
US7365349B2 (en) * 2005-06-27 2008-04-29 Cymer, Inc. EUV light source collector lifetime improvements
US8018574B2 (en) * 2005-06-30 2011-09-13 Asml Netherlands B.V. Lithographic apparatus, radiation system and device manufacturing method
US7394083B2 (en) * 2005-07-08 2008-07-01 Cymer, Inc. Systems and methods for EUV light source metrology
US20070024169A1 (en) * 2005-07-29 2007-02-01 Koegler John M Iii Method of forming a lamp assembly
JP4780394B2 (ja) * 2005-07-29 2011-09-28 独立行政法人産業技術総合研究所 液滴供給方法及び装置
DE102005041567B4 (de) * 2005-08-30 2009-03-05 Xtreme Technologies Gmbh EUV-Strahlungsquelle mit hoher Strahlungsleistung auf Basis einer Gasentladung
DE102005044141B4 (de) * 2005-09-15 2008-08-14 Qimonda Ag Belichtungsgerät und Verfahren zum Betrieb eines Belichtungsgeräts
JP4961529B2 (ja) * 2005-09-21 2012-06-27 国立大学法人大阪大学 極端紫外光源用ターゲット
JP2009510698A (ja) * 2005-09-30 2009-03-12 エナジェティック・テクノロジー・インコーポレーテッド 誘導駆動型プラズマ光源
WO2007064358A2 (en) * 2005-09-30 2007-06-07 Virgin Islands Microsystems, Inc. Structures and methods for coupling energy from an electromagnetic wave
JP5176052B2 (ja) * 2005-10-05 2013-04-03 国立大学法人大阪大学 放射線源用ターゲット生成供給装置
US7825391B2 (en) * 2005-10-17 2010-11-02 The University Of Washington Plasma-based EUV light source
US7372059B2 (en) * 2005-10-17 2008-05-13 The University Of Washington Plasma-based EUV light source
US20070115443A1 (en) * 2005-11-23 2007-05-24 Asml Netherlands B.V. Radiation system and lithographic apparatus
US20070119836A1 (en) * 2005-11-29 2007-05-31 Thomas Schroeder Method and apparatus for focusing a beam from an excimer laser to form a line of light on a substrate
US7465943B2 (en) * 2005-12-08 2008-12-16 Asml Netherlands B.V. Controlling the flow through the collector during cleaning
JP4904809B2 (ja) * 2005-12-28 2012-03-28 ウシオ電機株式会社 極端紫外光光源装置
US7470920B2 (en) * 2006-01-05 2008-12-30 Virgin Islands Microsystems, Inc. Resonant structure-based display
US20070152781A1 (en) * 2006-01-05 2007-07-05 Virgin Islands Microsystems, Inc. Switching micro-resonant structures by modulating a beam of charged particles
US7667820B2 (en) 2006-01-17 2010-02-23 Asml Netherlands B.V. Method for chemical reduction of an oxidized contamination material, or reducing oxidation of a contamination material and a conditioning system for doing the same
US7282776B2 (en) 2006-02-09 2007-10-16 Virgin Islands Microsystems, Inc. Method and structure for coupling two microcircuits
US20070200071A1 (en) * 2006-02-28 2007-08-30 Virgin Islands Microsystems, Inc. Coupling output from a micro resonator to a plasmon transmission line
US7443358B2 (en) * 2006-02-28 2008-10-28 Virgin Island Microsystems, Inc. Integrated filter in antenna-based detector
US7453071B2 (en) * 2006-03-29 2008-11-18 Asml Netherlands B.V. Contamination barrier and lithographic apparatus comprising same
JP4954584B2 (ja) * 2006-03-31 2012-06-20 株式会社小松製作所 極端紫外光源装置
US8536549B2 (en) * 2006-04-12 2013-09-17 The Regents Of The University Of California Light source employing laser-produced plasma
US7492868B2 (en) * 2006-04-26 2009-02-17 Virgin Islands Microsystems, Inc. Source of x-rays
US7646991B2 (en) 2006-04-26 2010-01-12 Virgin Island Microsystems, Inc. Selectable frequency EMR emitter
US7876793B2 (en) 2006-04-26 2011-01-25 Virgin Islands Microsystems, Inc. Micro free electron laser (FEL)
US7710040B2 (en) 2006-05-05 2010-05-04 Virgin Islands Microsystems, Inc. Single layer construction for ultra small devices
US20070258675A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Multiplexed optical communication between chips on a multi-chip module
US7718977B2 (en) 2006-05-05 2010-05-18 Virgin Island Microsystems, Inc. Stray charged particle removal device
US7436177B2 (en) * 2006-05-05 2008-10-14 Virgin Islands Microsystems, Inc. SEM test apparatus
US7656094B2 (en) 2006-05-05 2010-02-02 Virgin Islands Microsystems, Inc. Electron accelerator for ultra-small resonant structures
US7986113B2 (en) 2006-05-05 2011-07-26 Virgin Islands Microsystems, Inc. Selectable frequency light emitter
US7723698B2 (en) * 2006-05-05 2010-05-25 Virgin Islands Microsystems, Inc. Top metal layer shield for ultra-small resonant structures
US7746532B2 (en) 2006-05-05 2010-06-29 Virgin Island Microsystems, Inc. Electro-optical switching system and method
US7442940B2 (en) * 2006-05-05 2008-10-28 Virgin Island Microsystems, Inc. Focal plane array incorporating ultra-small resonant structures
US20070258720A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Inter-chip optical communication
US7741934B2 (en) 2006-05-05 2010-06-22 Virgin Islands Microsystems, Inc. Coupling a signal through a window
US7728397B2 (en) 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Coupled nano-resonating energy emitting structures
US7476907B2 (en) * 2006-05-05 2009-01-13 Virgin Island Microsystems, Inc. Plated multi-faceted reflector
US7359589B2 (en) * 2006-05-05 2008-04-15 Virgin Islands Microsystems, Inc. Coupling electromagnetic wave through microcircuit
US8188431B2 (en) 2006-05-05 2012-05-29 Jonathan Gorrell Integration of vacuum microelectronic device with integrated circuit
US7443577B2 (en) * 2006-05-05 2008-10-28 Virgin Islands Microsystems, Inc. Reflecting filtering cover
US7732786B2 (en) 2006-05-05 2010-06-08 Virgin Islands Microsystems, Inc. Coupling energy in a plasmon wave to an electron beam
US7342441B2 (en) * 2006-05-05 2008-03-11 Virgin Islands Microsystems, Inc. Heterodyne receiver array using resonant structures
US7728702B2 (en) 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Shielding of integrated circuit package with high-permeability magnetic material
US20070258492A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Light-emitting resonant structure driving raman laser
DE102006022823B4 (de) * 2006-05-12 2010-03-25 Xtreme Technologies Gmbh Anordnung zur Erzeugung von EUV-Strahlung auf Basis eines Gasentladungsplasmas
CN101444148B (zh) * 2006-05-16 2013-03-27 皇家飞利浦电子股份有限公司 提高euv和/或软x射线灯的转换效率的方法及相应装置
JP4321721B2 (ja) * 2006-05-22 2009-08-26 国立大学法人名古屋大学 放電光源
US20070274365A1 (en) * 2006-05-26 2007-11-29 Virgin Islands Microsystems, Inc. Periodically complex resonant structures
US7679067B2 (en) 2006-05-26 2010-03-16 Virgin Island Microsystems, Inc. Receiver array using shared electron beam
US20070287091A1 (en) * 2006-06-12 2007-12-13 Jacobo Victor M System and method for exposing electronic substrates to UV light
US7655934B2 (en) * 2006-06-28 2010-02-02 Virgin Island Microsystems, Inc. Data on light bulb
EP1882984B1 (en) * 2006-07-28 2011-10-12 Media Lario s.r.l. Multi-reflection optical systems and their fabrication
TW200808134A (en) * 2006-07-28 2008-02-01 Ushio Electric Inc Light source device for producing extreme ultraviolet radiation and method of generating extreme ultraviolet radiation
JP2008053696A (ja) * 2006-07-28 2008-03-06 Ushio Inc 極端紫外光光源装置および極端紫外光発生方法
JP5162113B2 (ja) * 2006-08-07 2013-03-13 ギガフォトン株式会社 極端紫外光源装置
US7450794B2 (en) * 2006-09-19 2008-11-11 Virgin Islands Microsystems, Inc. Microcircuit using electromagnetic wave routing
JP5076087B2 (ja) * 2006-10-19 2012-11-21 ギガフォトン株式会社 極端紫外光源装置及びノズル保護装置
US7759663B1 (en) * 2006-12-06 2010-07-20 Asml Netherlands B.V. Self-shading electrodes for debris suppression in an EUV source
US7696492B2 (en) * 2006-12-13 2010-04-13 Asml Netherlands B.V. Radiation system and lithographic apparatus
DE102006060998B4 (de) * 2006-12-20 2011-06-09 Fachhochschule Hildesheim/Holzminden/Göttingen - Körperschaft des öffentlichen Rechts - Verfahren und Vorrichtungen zum Erzeugen von Röntgenstrahlung
US7659513B2 (en) 2006-12-20 2010-02-09 Virgin Islands Microsystems, Inc. Low terahertz source and detector
US8071963B2 (en) * 2006-12-27 2011-12-06 Asml Netherlands B.V. Debris mitigation system and lithographic apparatus
DE102007004440B4 (de) * 2007-01-25 2011-05-12 Xtreme Technologies Gmbh Vorrichtung und Verfahren zur Erzeugung von extrem ultravioletter Strahlung mittels einer elektrisch betriebenen Gasentladung
US20080237498A1 (en) * 2007-01-29 2008-10-02 Macfarlane Joseph J High-efficiency, low-debris short-wavelength light sources
US20080237501A1 (en) * 2007-03-28 2008-10-02 Ushio Denki Kabushiki Kaisha Extreme ultraviolet light source device and extreme ultraviolet radiation generating method
US20080239262A1 (en) * 2007-03-29 2008-10-02 Asml Netherlands B.V. Radiation source for generating electromagnetic radiation and method for generating electromagnetic radiation
JP5001055B2 (ja) * 2007-04-20 2012-08-15 株式会社小松製作所 極端紫外光源装置
EP2155932A2 (de) * 2007-05-31 2010-02-24 Carl Zeiss SMT AG Verfahren zur herstellung eines optischen elementes mit hilfe von abformung, optisches element hergestellt nach diesem verfahren, kollektor und beleuchtungssystem
US7990336B2 (en) 2007-06-19 2011-08-02 Virgin Islands Microsystems, Inc. Microwave coupled excitation of solid state resonant arrays
US8227771B2 (en) * 2007-07-23 2012-07-24 Asml Netherlands B.V. Debris prevention system and lithographic apparatus
US8493548B2 (en) * 2007-08-06 2013-07-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7872244B2 (en) * 2007-08-08 2011-01-18 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP5234448B2 (ja) * 2007-08-09 2013-07-10 国立大学法人東京工業大学 放射線源用ターゲット、その製造方法及び放射線発生装置
US7655925B2 (en) 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
US7812329B2 (en) 2007-12-14 2010-10-12 Cymer, Inc. System managing gas flow between chambers of an extreme ultraviolet (EUV) photolithography apparatus
US7791053B2 (en) 2007-10-10 2010-09-07 Virgin Islands Microsystems, Inc. Depressed anode with plasmon-enabled devices such as ultra-small resonant structures
JP2009099390A (ja) 2007-10-17 2009-05-07 Tokyo Institute Of Technology 極端紫外光光源装置および極端紫外光発生方法
US8269199B2 (en) * 2007-11-29 2012-09-18 Plex Llc Laser heated discharge plasma EUV source
EP2083327B1 (en) * 2008-01-28 2017-11-29 Media Lario s.r.l. Improved grazing incidence collector optical systems for EUV and X-ray applications
US20090224182A1 (en) * 2008-02-21 2009-09-10 Plex Llc Laser Heated Discharge Plasma EUV Source With Plasma Assisted Lithium Reflux
JP5312837B2 (ja) 2008-04-14 2013-10-09 ギガフォトン株式会社 極端紫外光源装置
US8574410B2 (en) * 2008-04-22 2013-11-05 The Regents Of The University Of California Method and apparatus for improved high power impulse magnetron sputtering
US20110122387A1 (en) * 2008-05-13 2011-05-26 The Regents Of The University Of California System and method for light source employing laser-produced plasma
US8227778B2 (en) * 2008-05-20 2012-07-24 Komatsu Ltd. Semiconductor exposure device using extreme ultra violet radiation
JP5061063B2 (ja) * 2008-05-20 2012-10-31 ギガフォトン株式会社 極端紫外光用ミラーおよび極端紫外光源装置
NL2002890A1 (nl) * 2008-06-16 2009-12-17 Asml Netherlands Bv Lithographic apparatus.
WO2010004481A1 (en) * 2008-07-07 2010-01-14 Philips Intellectual Property & Standards Gmbh Extreme uv radiation generating device comprising a corrosion-resistant material
JP5162365B2 (ja) * 2008-08-05 2013-03-13 学校法人 関西大学 半導体リソグラフィ用光源
US8519366B2 (en) 2008-08-06 2013-08-27 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
JP4916535B2 (ja) * 2008-08-14 2012-04-11 エーエスエムエル ネザーランズ ビー.ブイ. 放射源、デバイス製造方法、およびリソグラフィ装置
EP2154574B1 (en) * 2008-08-14 2011-12-07 ASML Netherlands BV Radiation source and method of generating radiation
JP5732392B2 (ja) * 2008-08-14 2015-06-10 エーエスエムエル ネザーランズ ビー.ブイ. 放射源およびリソグラフィ装置
US9052615B2 (en) 2008-08-29 2015-06-09 Gigaphoton Inc. Extreme ultraviolet light source apparatus
NL1036803A (nl) * 2008-09-09 2010-03-15 Asml Netherlands Bv Radiation system and lithographic apparatus.
DE102008049494A1 (de) * 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
JP5576079B2 (ja) * 2008-09-29 2014-08-20 ギガフォトン株式会社 極端紫外光源装置
JP4623192B2 (ja) * 2008-09-29 2011-02-02 ウシオ電機株式会社 極端紫外光光源装置および極端紫外光発生方法
US7929667B1 (en) * 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
EP2182412A1 (en) * 2008-11-04 2010-05-05 ASML Netherlands B.V. Radiation source and lithographic apparatus
US8283643B2 (en) * 2008-11-24 2012-10-09 Cymer, Inc. Systems and methods for drive laser beam delivery in an EUV light source
KR101622272B1 (ko) * 2008-12-16 2016-05-18 코닌클리케 필립스 엔.브이. 향상된 효율로 euv 방사선 또는 소프트 x선을 생성하기 위한 방법 및 장치
US8232537B2 (en) * 2008-12-18 2012-07-31 Asml Netherlands, B.V. Radiation source, lithographic apparatus and device manufacturing method
JP5580032B2 (ja) * 2008-12-26 2014-08-27 ギガフォトン株式会社 極端紫外光光源装置
US20100176312A1 (en) * 2009-01-13 2010-07-15 Hiroshi Komori Extreme ultra violet light source apparatus
JP5474522B2 (ja) * 2009-01-14 2014-04-16 ギガフォトン株式会社 極端紫外光源システム
US8111809B2 (en) 2009-01-29 2012-02-07 The Invention Science Fund I, Llc Diagnostic delivery service
JP5455661B2 (ja) * 2009-01-29 2014-03-26 ギガフォトン株式会社 極端紫外光源装置
US8130904B2 (en) 2009-01-29 2012-03-06 The Invention Science Fund I, Llc Diagnostic delivery service
US8304752B2 (en) * 2009-04-10 2012-11-06 Cymer, Inc. EUV light producing system and method utilizing an alignment laser
US8050380B2 (en) * 2009-05-05 2011-11-01 Media Lario, S.R.L. Zone-optimized mirrors and optical systems using same
KR101535230B1 (ko) * 2009-06-03 2015-07-09 삼성전자주식회사 Euv 마스크용 공간 영상 측정 장치 및 방법
JP2011023712A (ja) 2009-06-19 2011-02-03 Gigaphoton Inc 極端紫外光源装置
NL2004816A (en) * 2009-07-07 2011-01-10 Asml Netherlands Bv Euv radiation generation apparatus.
US9726388B2 (en) 2009-07-20 2017-08-08 Lennox Industries Inc. Reflective ultraviolet light shield for a HVAC unit
EP2478417B1 (en) 2009-09-18 2013-07-03 Koninklijke Philips Electronics N.V. Foil trap device with improved heat resistance
US20110089834A1 (en) * 2009-10-20 2011-04-21 Plex Llc Z-pinch plasma generator and plasma target
WO2011051839A1 (en) 2009-10-29 2011-05-05 Koninklijke Philips Electronics N.V. Electrode system, in particular for gas discharge light sources
US8173985B2 (en) * 2009-12-15 2012-05-08 Cymer, Inc. Beam transport system for extreme ultraviolet light source
US8000212B2 (en) * 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
JP2013519211A (ja) 2010-02-09 2013-05-23 エナジェティック・テクノロジー・インコーポレーテッド レーザー駆動の光源
JP5687488B2 (ja) 2010-02-22 2015-03-18 ギガフォトン株式会社 極端紫外光生成装置
EP2545413A1 (en) * 2010-03-12 2013-01-16 ASML Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
US20130134318A1 (en) * 2010-03-25 2013-05-30 Reza Abhari Beam line for a source of extreme ultraviolet (euv) radiation
US8263953B2 (en) 2010-04-09 2012-09-11 Cymer, Inc. Systems and methods for target material delivery protection in a laser produced plasma EUV light source
US8810775B2 (en) * 2010-04-16 2014-08-19 Media Lario S.R.L. EUV mirror module with a nickel electroformed curved mirror
JP5758750B2 (ja) * 2010-10-29 2015-08-05 ギガフォトン株式会社 極端紫外光生成システム
RU2462008C2 (ru) * 2010-11-16 2012-09-20 Государственное Образовательное Учреждение Высшего Профессионального Образования "Московский Государственный Технический Университет Имени Н.Э. Баумана" Взрывной плазменно-вихревой источник оптического излучения
JP2012129439A (ja) * 2010-12-17 2012-07-05 Renesas Electronics Corp 半導体装置の製造方法、露光装置の露光方法、露光装置および露光装置用の光源
JP5921876B2 (ja) * 2011-02-24 2016-05-24 ギガフォトン株式会社 極端紫外光生成装置
US8633459B2 (en) 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
JP5921879B2 (ja) * 2011-03-23 2016-05-24 ギガフォトン株式会社 ターゲット供給装置及び極端紫外光生成装置
US9516730B2 (en) * 2011-06-08 2016-12-06 Asml Netherlands B.V. Systems and methods for buffer gas flow stabilization in a laser produced plasma light source
US9605376B2 (en) * 2011-06-28 2017-03-28 Mtix Ltd. Treating materials with combined energy sources
JP5488540B2 (ja) * 2011-07-04 2014-05-14 トヨタ自動車株式会社 半導体モジュール
US9155180B1 (en) * 2011-10-10 2015-10-06 Kla-Tencor Corporation System and method of simultaneously fueling and mitigating debris for a plasma-based illumination source
JP6034598B2 (ja) * 2012-05-31 2016-11-30 ギガフォトン株式会社 Euv光生成装置の洗浄方法
US9753383B2 (en) * 2012-06-22 2017-09-05 Asml Netherlands B.V. Radiation source and lithographic apparatus
JP6058324B2 (ja) * 2012-09-11 2017-01-11 ギガフォトン株式会社 ターゲット供給装置の制御方法、および、ターゲット供給装置
JP5567640B2 (ja) * 2012-11-05 2014-08-06 ギガフォトン株式会社 極端紫外光源装置
US9341752B2 (en) * 2012-11-07 2016-05-17 Asml Netherlands B.V. Viewport protector for an extreme ultraviolet light source
US9277634B2 (en) 2013-01-17 2016-03-01 Kla-Tencor Corporation Apparatus and method for multiplexed multiple discharge plasma produced sources
US8680495B1 (en) * 2013-03-15 2014-03-25 Cymer, Llc Extreme ultraviolet light source
JP6076838B2 (ja) * 2013-05-31 2017-02-08 住友重機械イオンテクノロジー株式会社 絶縁構造及び絶縁方法
IL234729B (en) 2013-09-20 2021-02-28 Asml Netherlands Bv A light source operated by a laser and a method using a mode mixer
IL234727B (en) 2013-09-20 2020-09-30 Asml Netherlands Bv A light source operated by a laser in an optical system corrected for deviations and the method of manufacturing the system as mentioned
US9719932B1 (en) 2013-11-04 2017-08-01 Kla-Tencor Corporation Confined illumination for small spot size metrology
US9301382B2 (en) 2013-12-02 2016-03-29 Asml Netherlands B.V. Apparatus for and method of source material delivery in a laser produced plasma EUV light source
KR101585889B1 (ko) * 2014-02-27 2016-02-02 한국과학기술원 고효율 할로우 음극과 이를 적용한 음극 시스템
US9741553B2 (en) 2014-05-15 2017-08-22 Excelitas Technologies Corp. Elliptical and dual parabolic laser driven sealed beam lamps
JP6707467B2 (ja) 2014-05-15 2020-06-10 エクセリタス テクノロジーズ コーポレイション レーザ駆動シールドビームランプ
US10186416B2 (en) 2014-05-15 2019-01-22 Excelitas Technologies Corp. Apparatus and a method for operating a variable pressure sealed beam lamp
US9506871B1 (en) 2014-05-25 2016-11-29 Kla-Tencor Corporation Pulsed laser induced plasma light source
KR102197066B1 (ko) 2014-07-01 2020-12-30 삼성전자 주식회사 플라즈마 광원, 그 광원을 구비한 검사 장치 및 플라즈마 광 생성 방법
US9301381B1 (en) 2014-09-12 2016-03-29 International Business Machines Corporation Dual pulse driven extreme ultraviolet (EUV) radiation source utilizing a droplet comprising a metal core with dual concentric shells of buffer gas
KR102345537B1 (ko) 2014-12-11 2021-12-30 삼성전자주식회사 플라즈마 광원, 및 그 광원을 포함하는 검사 장치
CA2890401C (en) 2015-01-21 2015-11-03 Vln Advanced Technologies Inc. Electrodischarge apparatus for generating low-frequency powerful pulsed and cavitating waterjets
CN104934278B (zh) * 2015-04-29 2017-06-23 中国科学院长春光学精密机械与物理研究所 Euv光源放电电极的液态金属高效冷却方法与装置
US10008378B2 (en) 2015-05-14 2018-06-26 Excelitas Technologies Corp. Laser driven sealed beam lamp with improved stability
US10057973B2 (en) 2015-05-14 2018-08-21 Excelitas Technologies Corp. Electrodeless single low power CW laser driven plasma lamp
US9576785B2 (en) 2015-05-14 2017-02-21 Excelitas Technologies Corp. Electrodeless single CW laser driven xenon lamp
KR102369935B1 (ko) 2015-08-31 2022-03-03 삼성전자주식회사 드립 홀을 갖는 콜렉팅 미러를 포함하는 euv 광 발생 장치
CA2921675C (en) 2016-02-24 2017-12-05 Vln Advanced Technologies Inc. Electro-discharge system for neutralizing landmines
US20170311429A1 (en) * 2016-04-25 2017-10-26 Asml Netherlands B.V. Reducing the effect of plasma on an object in an extreme ultraviolet light source
EP3291650B1 (en) * 2016-09-02 2019-06-05 ETH Zürich Device and method for generating uv or x-ray radiation by means of a plasma
US11008650B2 (en) 2016-11-03 2021-05-18 Starfire Industries Llc Compact system for coupling RF power directly into RF linacs
CN106533131B (zh) * 2016-11-18 2023-07-14 云南电网有限责任公司电力科学研究院 一种带脉冲激励装置的直流换流阀
JP6319920B1 (ja) * 2016-12-28 2018-05-09 国立大学法人大阪大学 光導波路形成方法、光導波路形成装置、電子加速器、x線レーザ照射装置、及び散乱x線発生装置
US10955749B2 (en) 2017-01-06 2021-03-23 Asml Netherlands B.V. Guiding device and associated system
JP2019029272A (ja) * 2017-08-02 2019-02-21 ウシオ電機株式会社 レーザ駆動ランプ
US10109473B1 (en) 2018-01-26 2018-10-23 Excelitas Technologies Corp. Mechanically sealed tube for laser sustained plasma lamp and production method for same
KR102555241B1 (ko) * 2018-08-08 2023-07-13 삼성전자주식회사 극자외선 생성 장치
US10877190B2 (en) * 2018-08-17 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet radiation source
US10743397B2 (en) * 2018-09-12 2020-08-11 ETH Zürich Method and device for generating electromagnetic radiation by means of a laser-produced plasma
US10477664B1 (en) * 2018-09-12 2019-11-12 ETH Zürich Method and device for generating electromagnetic radiation by means of a laser-produced plasma
WO2020176536A1 (en) * 2019-02-25 2020-09-03 Starfire Industries Llc Method and apparatus for metal and ceramic nanolayering for accident tolerant nuclear fuel, particle accelerators, and aerospace leading edges
US10791616B1 (en) * 2019-03-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus
KR20220078612A (ko) * 2019-10-16 2022-06-10 에이에스엠엘 네델란즈 비.브이. 방사선 소스에서 사용하기 위한 장치
US11438999B2 (en) * 2019-11-15 2022-09-06 The Regents Of The University Of California Devices and methods for creating plasma channels for laser plasma acceleration
JP6885636B1 (ja) * 2020-03-05 2021-06-16 アールアンドディー−イーサン,リミテッド レーザ励起プラズマ光源およびプラズマ点火方法
CN111403290B (zh) * 2020-03-31 2021-06-04 武汉大学 一种激光冲击减小场效应晶体管沟道长度的方法
CN111628001B (zh) * 2020-05-28 2021-06-04 武汉大学 一种亚纳米顶栅电极场效应晶体管的可控制备方法
CN112462577B (zh) * 2020-12-02 2023-11-28 东方晶源微电子科技(北京)有限公司深圳分公司 一种用于光刻机照明系统的自由光瞳生成方法
KR20240009476A (ko) * 2021-05-28 2024-01-22 잽 에너지, 인크. 연장된 플라즈마 밀폐를 위한 전극 구성
US20230268167A1 (en) * 2022-02-21 2023-08-24 Hamamatsu Photonics K.K. Inductively Coupled Plasma Light Source
JP7324926B1 (ja) 2022-06-02 2023-08-10 恭胤 高藤 遮蔽容器

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000089000A (ja) * 1998-09-14 2000-03-31 Nikon Corp X線発生装置
JP2000299197A (ja) * 1999-04-13 2000-10-24 Agency Of Ind Science & Technol X線発生装置
JP2001035688A (ja) * 1999-07-26 2001-02-09 Nikon Corp 軟x線発生装置及びこれを備えた露光装置及び軟x線の発生方法
WO2001031678A1 (en) * 1999-10-27 2001-05-03 Jmar Research, Inc. Method and radiation generating system using microtargets
WO2001095362A1 (en) * 2000-06-09 2001-12-13 Cymer, Inc. Plasma focus light source with active and buffer gas control
JP2002008891A (ja) * 2000-06-22 2002-01-11 Nikon Corp 電磁波発生装置、これを用いた半導体製造装置並びに半導体デバイスの製造方法

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2759106A (en) * 1951-05-25 1956-08-14 Wolter Hans Optical image-forming mirror system providing for grazing incidence of rays
US3279176A (en) * 1959-07-31 1966-10-18 North American Aviation Inc Ion rocket engine
US3150483A (en) * 1962-05-10 1964-09-29 Aerospace Corp Plasma generator and accelerator
US3232046A (en) * 1962-06-06 1966-02-01 Aerospace Corp Plasma generator and propulsion exhaust system
US3746870A (en) * 1970-12-21 1973-07-17 Gen Electric Coated light conduit
US3969628A (en) * 1974-04-04 1976-07-13 The United States Of America As Represented By The Secretary Of The Army Intense, energetic electron beam assisted X-ray generator
US4042848A (en) * 1974-05-17 1977-08-16 Ja Hyun Lee Hypocycloidal pinch device
US3946332A (en) * 1974-06-13 1976-03-23 Samis Michael A High power density continuous wave plasma glow jet laser system
US3961197A (en) * 1974-08-21 1976-06-01 The United States Of America As Represented By The United States Energy Research And Development Administration X-ray generator
US3960473A (en) * 1975-02-06 1976-06-01 The Glastic Corporation Die structure for forming a serrated rod
US4162160A (en) * 1977-08-25 1979-07-24 Fansteel Inc. Electrical contact material and method for making the same
US4143275A (en) * 1977-09-28 1979-03-06 Battelle Memorial Institute Applying radiation
US4203393A (en) * 1979-01-04 1980-05-20 Ford Motor Company Plasma jet ignition engine and method
JPS5756668A (en) * 1980-09-18 1982-04-05 Nissan Motor Co Ltd Plasma igniter
US4364342A (en) * 1980-10-01 1982-12-21 Ford Motor Company Ignition system employing plasma spray
US4538291A (en) * 1981-11-09 1985-08-27 Kabushiki Kaisha Suwa Seikosha X-ray source
US4504964A (en) * 1982-09-20 1985-03-12 Eaton Corporation Laser beam plasma pinch X-ray system
US4536884A (en) * 1982-09-20 1985-08-20 Eaton Corporation Plasma pinch X-ray apparatus
US4618971A (en) * 1982-09-20 1986-10-21 Eaton Corporation X-ray lithography system
US4633492A (en) * 1982-09-20 1986-12-30 Eaton Corporation Plasma pinch X-ray method
US4507588A (en) * 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
DE3332711A1 (de) * 1983-09-10 1985-03-28 Fa. Carl Zeiss, 7920 Heidenheim Vorrichtung zur erzeugung einer plasmaquelle mit hoher strahlungsintensitaet im roentgenbereich
JPS60175351A (ja) * 1984-02-14 1985-09-09 Nippon Telegr & Teleph Corp <Ntt> X線発生装置およびx線露光法
US4561406A (en) * 1984-05-25 1985-12-31 Combustion Electromagnetics, Inc. Winged reentrant electromagnetic combustion chamber
US4837794A (en) * 1984-10-12 1989-06-06 Maxwell Laboratories Inc. Filter apparatus for use with an x-ray source
US4626193A (en) * 1985-08-02 1986-12-02 Itt Corporation Direct spark ignition system
US4774914A (en) * 1985-09-24 1988-10-04 Combustion Electromagnetics, Inc. Electromagnetic ignition--an ignition system producing a large size and intense capacitive and inductive spark with an intense electromagnetic field feeding the spark
CA1239487A (en) * 1985-10-03 1988-07-19 National Research Council Of Canada Multiple vacuum arc derived plasma pinch x-ray source
CA1239486A (en) * 1985-10-03 1988-07-19 Rajendra P. Gupta Gas discharge derived annular plasma pinch x-ray source
US4704718A (en) * 1985-11-01 1987-11-03 Princeton University Apparatus and method for generating soft X-ray lasing action in a confined plasma column through the use of a picosecond laser
US4928020A (en) * 1988-04-05 1990-05-22 The United States Of America As Represented By The United States Department Of Energy Saturable inductor and transformer structures for magnetic pulse compression
DE3927089C1 (ja) * 1989-08-17 1991-04-25 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
US5102776A (en) * 1989-11-09 1992-04-07 Cornell Research Foundation, Inc. Method and apparatus for microlithography using x-pinch x-ray source
US5027076A (en) * 1990-01-29 1991-06-25 Ball Corporation Open cage density sensor
US5175755A (en) * 1990-10-31 1992-12-29 X-Ray Optical System, Inc. Use of a kumakhov lens for x-ray lithography
US5126638A (en) * 1991-05-13 1992-06-30 Maxwell Laboratories, Inc. Coaxial pseudospark discharge switch
US5142166A (en) * 1991-10-16 1992-08-25 Science Research Laboratory, Inc. High voltage pulsed power source
US5411224A (en) * 1993-04-08 1995-05-02 Dearman; Raymond M. Guard for jet engine
US5313481A (en) * 1993-09-29 1994-05-17 The United States Of America As Represented By The United States Department Of Energy Copper laser modulator driving assembly including a magnetic compression laser
US5448580A (en) * 1994-07-05 1995-09-05 The United States Of America As Represented By The United States Department Of Energy Air and water cooled modulator
US5504795A (en) * 1995-02-06 1996-04-02 Plex Corporation Plasma X-ray source
EP0759215B1 (en) * 1995-02-17 2003-06-04 Cymer, Inc. Pulse power generating circuit with energy recovery
US5830336A (en) * 1995-12-05 1998-11-03 Minnesota Mining And Manufacturing Company Sputtering of lithium
SE510133C2 (sv) * 1996-04-25 1999-04-19 Jettec Ab Laser-plasma röntgenkälla utnyttjande vätskor som strålmål
JPH10221499A (ja) * 1997-02-07 1998-08-21 Hitachi Ltd レーザプラズマx線源およびそれを用いた半導体露光装置並びに半導体露光方法
US5963616A (en) * 1997-03-11 1999-10-05 University Of Central Florida Configurations, materials and wavelengths for EUV lithium plasma discharge lamps
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US5936988A (en) * 1997-12-15 1999-08-10 Cymer, Inc. High pulse rate pulse power system
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US6064072A (en) * 1997-05-12 2000-05-16 Cymer, Inc. Plasma focus high energy photon source
US5763930A (en) * 1997-05-12 1998-06-09 Cymer, Inc. Plasma focus high energy photon source
US6452199B1 (en) * 1997-05-12 2002-09-17 Cymer, Inc. Plasma focus high energy photon source with blast shield
US6566667B1 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with improved pulse power system
US6541786B1 (en) * 1997-05-12 2003-04-01 Cymer, Inc. Plasma pinch high energy with debris collector
US6566668B2 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with tandem ellipsoidal mirror units
US6281585B1 (en) 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
US6307913B1 (en) * 1998-10-27 2001-10-23 Jmar Research, Inc. Shaped source of soft x-ray, extreme ultraviolet and ultraviolet radiation
US6186632B1 (en) * 1998-12-31 2001-02-13 The Regents Of The University Of California Condenser for ring-field deep-ultraviolet and extreme-ultraviolet lithography
US6831963B2 (en) * 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6377651B1 (en) * 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
US6469310B1 (en) * 1999-12-17 2002-10-22 Asml Netherlands B.V. Radiation source for extreme ultraviolet radiation, e.g. for use in lithographic projection apparatus
TW502559B (en) * 1999-12-24 2002-09-11 Koninkl Philips Electronics Nv Method of generating extremely short-wave radiation, method of manufacturing a device by means of said radiation, extremely short-wave radiation source unit and lithographic projection apparatus provided with such a radiation source unit
US6195272B1 (en) * 2000-03-16 2001-02-27 Joseph E. Pascente Pulsed high voltage power supply radiography system having a one to one correspondence between low voltage input pulses and high voltage output pulses
US6661018B1 (en) * 2000-04-25 2003-12-09 Northrop Grumman Corporation Shroud nozzle for gas jet control in an extreme ultraviolet light source
US6972421B2 (en) * 2000-06-09 2005-12-06 Cymer, Inc. Extreme ultraviolet light source
JP2002006096A (ja) * 2000-06-23 2002-01-09 Nikon Corp 電磁波発生装置、これを用いた半導体製造装置並びに半導体デバイスの製造方法
FR2814599B1 (fr) * 2000-09-27 2005-05-20 Commissariat Energie Atomique Dispositif laser de forte puissance crete et application a la generation de lumiere dans l'extreme ultra violet
US6804327B2 (en) * 2001-04-03 2004-10-12 Lambda Physik Ag Method and apparatus for generating high output power gas discharge based source of extreme ultraviolet radiation and/or soft x-rays
US6567499B2 (en) * 2001-06-07 2003-05-20 Plex Llc Star pinch X-ray and extreme ultraviolet photon source
US6998785B1 (en) * 2001-07-13 2006-02-14 University Of Central Florida Research Foundation, Inc. Liquid-jet/liquid droplet initiated plasma discharge for generating useful plasma radiation
DE10151080C1 (de) * 2001-10-10 2002-12-05 Xtreme Tech Gmbh Einrichtung und Verfahren zum Erzeugen von extrem ultravioletter (EUV-)Strahlung auf Basis einer Gasentladung
FR2837990B1 (fr) * 2002-03-28 2007-04-27 Commissariat Energie Atomique Cavite laser de forte puissance crete et association de plusieurs de ces cavites, notamment pour exciter un generateur de lumiere dans l'extreme ultraviolet
JP4111487B2 (ja) * 2002-04-05 2008-07-02 ギガフォトン株式会社 極端紫外光源装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000089000A (ja) * 1998-09-14 2000-03-31 Nikon Corp X線発生装置
JP2000299197A (ja) * 1999-04-13 2000-10-24 Agency Of Ind Science & Technol X線発生装置
JP2001035688A (ja) * 1999-07-26 2001-02-09 Nikon Corp 軟x線発生装置及びこれを備えた露光装置及び軟x線の発生方法
WO2001031678A1 (en) * 1999-10-27 2001-05-03 Jmar Research, Inc. Method and radiation generating system using microtargets
WO2001095362A1 (en) * 2000-06-09 2001-12-13 Cymer, Inc. Plasma focus light source with active and buffer gas control
JP2002008891A (ja) * 2000-06-22 2002-01-11 Nikon Corp 電磁波発生装置、これを用いた半導体製造装置並びに半導体デバイスの製造方法

Also Published As

Publication number Publication date
US20100176313A1 (en) 2010-07-15
KR20040108718A (ko) 2004-12-24
JP2005522839A (ja) 2005-07-28
US20080023657A1 (en) 2008-01-31
US20040108473A1 (en) 2004-06-10
WO2003087867A3 (en) 2003-12-18
AU2003230870A8 (en) 2003-10-27
US7368741B2 (en) 2008-05-06
KR101038479B1 (ko) 2011-06-02
US6972421B2 (en) 2005-12-06
EP1493039A2 (en) 2005-01-05
US20050230645A1 (en) 2005-10-20
EP1493039A4 (en) 2009-11-11
AU2003230870A1 (en) 2003-10-27
US7642533B2 (en) 2010-01-05
WO2003087867A2 (en) 2003-10-23

Similar Documents

Publication Publication Date Title
US7368741B2 (en) Extreme ultraviolet light source
US6815700B2 (en) Plasma focus light source with improved pulse power system
US6566667B1 (en) Plasma focus light source with improved pulse power system
KR100358447B1 (ko) 블라스트 실드를 갖춘 플라즈마 포커싱된 고 에너지 포톤소스
EP1047288B1 (en) Plasma focus high energy photon source
US8519366B2 (en) Debris protection system having a magnetic field for an EUV light source
US20070023705A1 (en) EUV light source collector lifetime improvements
US6356618B1 (en) Extreme-UV electrical discharge source
JP2010182698A (ja) 極紫外線光源
Brandt et al. LPP EUV source development for HVM
TWI222248B (en) Extreme ultraviolet light source
RU2253194C2 (ru) Источник излучения на основе плазменного фокуса с улучшенной системой импульсного питания
EP2187711B1 (en) Inductively-driven plasma light source
JP2008506238A5 (ja)
KR20010029769A (ko) 블라스트 실드를 갖춘 플라즈마 포커싱된 고 에너지 포톤소스
KR20010007165A (ko) 플라즈마 초점 고에너지 포톤 소스

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131007

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140407