JP2011245620A - マイクロ電気機械的装置及びその封緘方法及び製造方法 - Google Patents

マイクロ電気機械的装置及びその封緘方法及び製造方法 Download PDF

Info

Publication number
JP2011245620A
JP2011245620A JP2011183879A JP2011183879A JP2011245620A JP 2011245620 A JP2011245620 A JP 2011245620A JP 2011183879 A JP2011183879 A JP 2011183879A JP 2011183879 A JP2011183879 A JP 2011183879A JP 2011245620 A JP2011245620 A JP 2011245620A
Authority
JP
Japan
Prior art keywords
sealing layer
silicon
germanium
chamber
sealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011183879A
Other languages
English (en)
Other versions
JP5281682B2 (ja
Inventor
Aaron Partridge
パートリッジ,アーロン
Markus Lutz
ルッツ,マルクス
Silvia Kronmueller
クローンミュラー,ズィルヴィア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Publication of JP2011245620A publication Critical patent/JP2011245620A/ja
Application granted granted Critical
Publication of JP5281682B2 publication Critical patent/JP5281682B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00301Connecting electric signal lines from the MEMS device with external electrical signal lines, e.g. through vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/0176Chemical vapour Deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0136Growing or depositing of a covering layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】 本願には多くの発明が記載されており且つ例示されている。1つの特徴では、本発明は、最終パッケージ前に機械構造がチャンバ内に封緘されたMEMS装置及びその製造技術に関する。
【解決手段】 付着させたときに機械構造を封緘する材料は、一体化に列挙する特性のうちの1つ又はそれ以上を備えている。即ち、引張応力が低く、ステップカバレッジが良好であり、続くプロセスが加えられたときにその一体性を維持し、チャンバ内の機械構造の性能特性に大きな影響及び/又は悪影響を及ぼさず(付着中に材料でコーティングされていない場合)、及び/又は高性能集積回路との一体性を容易にする。一実施形態では、機械構造を封緘する材料は、例えば、シリコン(ドーピングされた又はドーピングがなされていない多晶質、非晶質、又は多孔質)、シリコンカーバイド、シリコンゲルマニウム、ゲルマニウム、又はガリウム砒素である。
【選択図】 図1

Description

本発明である。電気機械的装置、及びマイクロ電気機械的装置及びナノ電気機械的装置の製造技術に関し、更に詳細には、1つの特徴では、高性能集積回路を共通の基板上に備えたマイクロ電気機械的装置及びナノ電気機械的装置の製造に関する。
マイクロ電気機械的装置(「MEMS」)、例えばジャイロスコープ、共振器、及び加速時計は、機械的構成要素をマイクロ電子装置にほぼ適合するスケールまで小型化するため、マイクロ機械加工技術(即ち、リソグラフィー及び他の精密製造技術)を使用する。MEMSは、代表的には、マイクロ機械加工技術を使用して例えばシリコン基板から又はシリコン基板上に製造した機械構造を含む。
機械構造は、代表的には、チャンバ内にシールされる。壊れやすい機械構造は、例えば、気密封止された金属製容器(例えばTO−8「缶」;例えば、米国特許第6,307,815号を参照されたい)内にシールされていてもよいし、機械構造を収容又は被覆するためのチャンバを持つ半導体又はガラス状基板に結合されていてもよい(例えば、米国特許第6,146,917号、米国特許第6,352,935号、米国特許第6,477,901号、及び米国特許第6,501,082号を参照されたい)。気密封止された金属製容器の場合には、機械構造が上側又は内側に置かれた基板は、金属製容器内に配置され、又は金属製容器に取り付けられる。気密封止された金属製容器は、更に、主パッケージとしても役立つ。
半導体又はガラス状基板を用いたパッケージ技術の場合には、機械構造の基板を別の基板に結合し、これによってこれらの結合された基板がチャンバを形成し、このチャンバ内に機械構造を置いてもよい。このようにして、機械構造の作動環境を制御してもよく、構造自体を例えば不時の接触から保護してもよい。結合された2枚の基板は、MEMS用の主パッケージであってもよいし、そうでなくてもよい。
機械構造を保護するため、気密封止された金属製容器又は結合された半導体又はガラス状基板を使用するMEMSは、対費用効果に優れた方法で高性能集積回路と同じ基板上で一体化するのが困難である。これに関し、高性能集積回路と一体化するのに必要な追加の加工技術は、機械構造を損傷したり壊したりし易い。
壊れ易い機械構造を保護するチャンバを形成するための別の技術は、マイクロ機械加工技術(例えば、国際公開第01/77008A1号及び第01/77009A1号を参照されたい)を使用する。これに関し、機械構造は、従来の技術(即ち、低温技術(LTO)、テトラエトキシシラン(TEOS)、等を使用した酸化)を使用して付着し又は形成した従来の酸化物(SiO2)を使用してチャンバ内に封緘される。(例えば、WO01/77008A1の図2乃至図4参照。)この技術を実施する場合、機械構造はパッケージ及び/又は集積回路との一体化前に封緘される。
MEMSの機械構造を封緘するために従来の酸化物を使用することは、気密封止された金属製容器又は結合された半導体又はガラス状基板に対して有利であるけれども、従来の技術を使用して付着した従来の酸化物は、多くの場合、例えば隅部や段部のところに大きい引張応力が加わる(即ち、下側の表面に大きな空間的ずれが生じる)。更に、このような酸化物は、多くの場合、下側の表面が大きな空間的ずれを示す場合にこれらの領域の被覆がうまくいかないように形成され又は付着される。更に、従来の酸化物(従来の技術を
使用して付着した)は、多くの場合、機械構造が封緘され且つ作動するように設計された環境で負圧が所望である場合、発生する負圧が不十分である。これらの欠点は、MEMSの一体性及び/又は性能に影響を及ぼす。
更に、従来の技術を使用して付着した従来の酸化物は、封緘プロセス中に機械構造上に薄膜を形成する。この薄膜は、機械構造の一体性に影響を及ぼし、そのため、MEMSの性能又は作動特性(例えば、共振器の作動特性)に影響を及ぼす。
米国特許第6,307,815号 米国特許第6,146,917号 米国特許第6,352,935号 米国特許第6,477,901号 米国特許第6,501,082号 国際公開第01/77008号 国際公開第01/77009号
とりわけ、(1)従来の材料及び技術の欠点の1つ、幾つか、又は全てを解決し、及び/又は(2)高性能集積回路及び/又は追加のMEMSを共通の基板上で効率的に一体化できるMEMS(例えば、ジャイロスコープ、共振器、温度センサ、及び/又は加速時計)を提供する必要がある。
本明細書中、多くの発明を説明し且つ例示する。第1の主要な特徴では、本発明は、機械構造がチャンバ内に配置された電気機械的装置のチャンバをシールする方法である。この方法は、犠牲層を機械構造の少なくとも一部の上に付着する工程、及び第1封緘層(例えば、多晶質シリコン、非晶質シリコン、ゲルマニウム、シリコン/ゲルマニウム、又はガリウム砒素)を犠牲層の上に付着する工程を含む。第1封緘層を通して少なくとも1つの開孔を形成し、犠牲層の少なくとも一部を除去してチャンバを形成する。その後、第2封緘層を開孔上に又は開孔内に付着し、チャンバをシールする。第2封緘層は半導体(例えば、多晶質シリコン、非晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、又はガリウム砒素)である。
本発明のこの特徴の一実施形態では、第1封緘層は、第1不純物でドーピングしてあり、第1の種類の導電性を持つ第1領域を提供する半導体であり、第2封緘層は第2不純物でドーピングしてあり、第2の種類の導電性を持つ第2領域を提供する。第1の種類の導電性は第2の種類の導電性と逆である。一実施形態では、第1及び第2の封緘層は、エピタキシャル又はCVD反応器を使用して付着される。
この方法は、更に、第2封緘層の露呈された表面を平坦化する工程、及び第2封緘層の十分な量を除去し、これによって第1封緘層を露呈し、ジャンクションを絶縁する工程を含む。
一実施形態では、第1封緘層の第1部分は単結晶シリコンでできており、第2部分は多晶質シリコンでできている。この実施形態では、第2封緘層の表面を平坦化し、第1封緘層の第1部分を露呈してもよい。その後、第1封緘層の第1部分で単結晶シリコンを成長してもよい。
別の原理的特徴では、本発明は、チャンバ内に機械構造を持つ電気機械的装置の製造方法である。チャンバは、機械構造を機械的に減衰する所定圧力の流体を含んでいてもよい。この方法は、第1封緘層(例えば、多晶質シリコン、非晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、又はガリウム砒素等の半導体で形成されている)を機械構造上に付着する工程を含む。次いで、第1封緘層に少なくとも1つの開孔を形成し、チャンバを形成する。その後、第2封緘層(例えば、多晶質シリコン、多孔質多晶質シリコン、非晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、又はガリウム砒素等の半導体で形成されている)を開孔上に又はこの開孔内に付着し、チャンバをシールする。
本発明のこの特徴の一実施形態では、第1封緘層は、第1の種類の導電性を持つ第1領域を形成するように第1不純物でドーピングしてあり、第2封緘層は、第2の種類の導電性を持つ第2領域を形成するように第2不純物でドーピングしてある。第1の種類の導電性は第2の種類の導電性とは逆である。第1及び第2の封緘層は、エピタキシャル又はCVD反応器を使用して付着できる。
一実施形態では、第1封緘層の第1部分は単結晶シリコンであり、第2部分は多晶質シリコンである。この実施形態では、第2封緘層の表面を平坦化し、第1封緘層の第1部分を露呈してもよい。その後、第1封緘層の第1部分で単結晶シリコンを成長してもよい。
別の重要な特徴では、本発明は、少なくとも1つの開孔を持つ第1封緘層(例えば、多晶質シリコン、多孔質多晶質シリコン、非晶質シリコン、ゲルマニウム、シリコン/ゲルマニウム、ガリウム砒素、シリコンナイトライド、又はシリコンカーバイド)を含むチャンバ、及び少なくとも一部がチャンバ内に配置された機械構造を含む。電気機械的装置は、更に、開孔上又は開孔内に配置され、これによってチャンバをシールする半導体(例えば、多晶質シリコン、多孔質多晶質シリコン、非晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、又はガリウム砒素)でできた第2封緘層を含む。
一実施形態では、第1封緘層は、第1の種類の導電性を持つ第1領域を形成するように第1不純物でドーピングした半導体である。第2封緘層は、第2の種類の導電性を持つ第2領域を形成するように第2不純物でドーピングしてある。第1の種類の導電性は第2の種類の導電性とは逆である。
本装置は、チャンバの外側に配置されたコンタクト(即ち、一部又は全部がチャンバの外側に配置されたコンタクト領域及び/又はコンタクトビア(contactvia))を更に含む。コンタクトは、領域の導電性を高めるため、不純物でドーピングした半導体であってもよい。コンタクトは、第1の種類の導電性を持つ半導体で取り囲まれていてもよく、第1の種類の導電性を持つ半導体が、組み合わせられてジャンクションの絶縁体を形成する。
本発明のこの特徴の装置は、単結晶シリコンで形成された第1封緘層の第1部分を含んでいてもよく、第2部分は、多晶質シリコンで形成されている。更に、本発明は、チャンバの外側に及び上側に付着したフィールド領域を含んでいてもよく、このフィールド領域は単結晶シリコンで形成されている。
一実施形態では、第1封緘層の第1部分は単結晶シリコンで形成されていてもよく、第2部分は多孔質又は非晶質のシリコンで形成されていてもよい。この実施形態では、第1封緘層の第1部分と重なった第2封緘層は、多晶質シリコンである。
インターフェース回路及びデータ処理電子装置と関連して基板に配置されたマイクロ電気機械的装置のブロックダイヤグラムである。 マイクロ電気機械的構造の一部、例えば加速時計のインターディジタル電極アレイ又は櫛状フィンガ電極アレイの部分の、コンタクト領域及びフィールド領域と関連した平面図である。 本発明の特定の特徴による、図2のインターディジタル電極アレイ又は櫛状フィンガ電極アレイの部分及びコンタクト領域及びフィールド領域の(図2のa−a線に沿った)断面図である。 本発明の特定の特徴による図3のマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴による図3のマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴による図3のマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴による図3のマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴による図3のマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴による図3のマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴による図3のマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴による図3のマイクロ構造の追加の製造プロセスの断面図である。 本発明の特定の特徴による図3のマイクロ構造の追加の製造プロセスの断面図である。 本発明の特定の特徴による図3のマイクロ構造の追加の製造プロセスの断面図である。 本発明の特定の特徴によるマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造プロセスの様々な段階での断面図である。 非形態一致及び形態一致の付着、成長、及び/又は形成技術を使用した単晶構造の成長を更に例示として示す断面図である。 非形態一致及び形態一致の付着、成長、及び/又は形成技術を使用した単晶構造の成長を更に例示として示す断面図である。 非形態一致及び形態一致の付着、成長、及び/又は形成技術を使用した単晶構造の成長を更に例示として示す断面図である。 第1封緘層が透過性材料である封緘プロセスの様々な段階での、本発明の特定の特徴によるマイクロ構造の製造の断面図である。 第1封緘層が透過性材料である封緘プロセスの様々な段階での、本発明の特定の特徴によるマイクロ構造の製造の断面図である。 第1封緘層が透過性材料である封緘プロセスの様々な段階での、本発明の特定の特徴によるマイクロ構造の製造の断面図である。 第1封緘層が透過性材料である封緘プロセスの様々な段階での、本発明の特定の特徴によるマイクロ構造の製造の断面図である。 第1封緘層が透過性材料である封緘プロセスの様々な段階での、本発明の特定の特徴によるマイクロ構造の製造の断面図である。 三つ又はそれ以上の封緘層(図9のA参照)及びコンタクト相互連結部(図9のC参照)の実施を含む本発明の別の特徴による図2のインターディジタル電極アレイ又は櫛状フィンガ電極アレイのマイクロ構造の製造の一部のa−a線に沿った断面図である。 三つ又はそれ以上の封緘層(図9のA参照)及びコンタクト相互連結部(図9のC参照)の実施を含む本発明の別の特徴による図2のインターディジタル電極アレイ又は櫛状フィンガ電極アレイのマイクロ構造の製造の一部のa−a線に沿った断面図である。 三つ又はそれ以上の封緘層(図9のA参照)及びコンタクト相互連結部(図9のC参照)の実施を含む本発明の別の特徴による図2のインターディジタル電極アレイ又は櫛状フィンガ電極アレイのマイクロ構造の製造の一部のa−a線に沿った断面図である。 本発明の特定の特徴によるマイクロ構造の製造の、プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造の、プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造の、プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造の、プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造の、プロセスの様々な段階での断面図である。 本発明の特定の特徴によるマイクロ構造の製造の、プロセスの様々な段階での断面図である。 本発明の特定の特徴に従ってMEMSの基板上又は基板内にモノリシックに一体化された1つ又はそれ以上の電気機械的装置を各々有する複数のマイクロ機械構造の一部の断面図であり、 本発明の特定の特徴に従ってMEMSの基板上又は基板内にモノリシックに一体化された複数のマイクロ構造を持つマイクロ機械構造の一部の断面図である。 本発明の特定の特徴に従ってMEMSの基板上又は基板内にモノリシックに一体化された複数のマイクロ構造を持つマイクロ機械構造の一部の断面図である。 本発明の特定の特徴に従ってMEMSの基板上又は基板内にモノリシックに一体化された複数のマイクロ構造を持つマイクロ機械構造の一部の断面図である。 微小機械加工を施した機械構造部分及び集積回路部分を含み、これらの部分の両方が共通の基板上に配置され又は一体化された、本発明の特定の特徴によるMEMSの断面図である。 微小機械加工を施した機械構造部分及び集積回路部分を含み、これらの部分の両方が共通の基板上に配置され又は一体化された、本発明の特定の特徴によるMEMSの断面図である。 微小機械加工を施した機械構造部分及び集積回路部分を含み、これらの部分の両方が共通の基板上に配置され又は一体化された、本発明の特定の特徴によるMEMSの断面図である。 一層の第2封緘層を上側に付着したマイクロ構造を持つ、微小機械加工を施した機械構造の一部の断面図である。
以下の詳細な説明において添付図面を参照する。これらの図は本発明の様々な特徴を示し、適当である場合には、様々な図に亘って同じ参照番号が、同様の構造、構成要素、材料、及び/又はエレメントに付してある。特定的に図示した以外の様々な組み合わせ構造、構成要素、材料、及び/又はエレメントが考えられ、これらが本発明の範疇に含まれるということは理解されるべきである。
多くの発明をここに説明し、例示する。1つの特徴では、本発明は、最終パッケージ及び/又は装置の完成前にMEMS装置、及び機械構造がチャンバに封緘されたMEMS装置の組み立て又は製造技術に関する。機械構造を封緘する材料は、以下に列挙する属性のうちの1つ又はそれ以上を含んでいてもよい。即ち、引張応力が低く、段部を良好に覆い、続いてプロセスが行われる場合に一体性を維持し、チャンバ内の機械構造の性能特性に大きな影響及び/又は悪影響を及ぼさず(付着中、形成中、及び/又は成長中に材料でコーティングした場合)、設計された、適当な、及び/又は適当な封緘属性を作動条件及び/又は時間に亘って維持し、及び/又は高性能集積回路との一体化を容易にする。一実施形態では、機械構造の封緘は、半導体、例えばシリコン(例えば、ドーピングした又はドーピングしていない、単結晶シリコン、多晶質シリコン、非晶質シリコン、又は多孔質多晶質シリコン)、ゲルマニウム、シリコン−ゲルマニウム、シリコンカーバイド、又はガリウム砒素、又はこれらの組み合わせによって行われる。このような材料は、MEMSの代表的な作動状態及び使用寿命に亘り、以下の属性のうちの1つ又はそれ以上を維持してもよい。
図1を参照すると、1つの例示の実施形態では、MEMS10は、微小機械加工を施した機械構造12を含む。この構造は基板14、例えばドーピングがなされていない半導体等の材料、ガラス等の材料、又は絶縁体等の材料上に配置される。MEMS10は、更に、微小機械加工を施した機械構造12が発生した情報を演算処理し且つ分析し、及び/又は微小機械加工を施した機械構造12を制御し又は監視するデータ処理電子装置16を含む。更に、MEMS10は、微小機械加工を施した機械構造12及び/又はデータ処理電子装置16から、情報を、外部装置(図示せず)、例えばコンピュータ、インジケータ/ディスプレー及び/又はセンサに提供するインターフェース回路18を含む。
データ処理電子装置16及び/又はインターフェース回路18は、基板14内又は基板上に一体化されていてもよい。これに関し、MEMS10は、機械構造12、データ処理電子装置16、及びインターフェース回路18を含むモノリシック構造であってもよい。データ処理電子装置16及び/又はインターフェース回路18は、更に、別体の別個の基板に設けられていてもよく、この基板を、製造後に基板14に結合してもよい。
図2を参照すると、一実施形態において、微小機械加工を施した機械構造12は、基板14上に、基板14上方に、及び/又は基板14内に配置した機械構造20a−dを含む。これらの機械構造20a−dは、例えば、周期表のIV族の材料、例えばシリコン、ゲルマニウム、炭素;更に、これらの組み合わせ、例えばシリコン−ゲルマニウム又はシリコンカーバイド;更に、III−V族の化合物、例えばガリウム燐、アルミニウムガリウム燐、又は他のIII−V族の化合物;更に、III族、IV族、V族、又はVI族の材料の組み合わせ、例えばシリコンナイトライド、シリコン酸化物、アルミニウムカーバイド、又はアルミニウム酸化物;更に、金属のシリサイド、ゲルマニウム化合物、及びカーバイド、例えばニッケルシリサイド、コバルトシリサイド、タングステンカーバイド、又はプラチナゲルマニウムシリサイド;更に、燐、砒素、アンチモン、硼素、又はアルミニウムでドーピングしたシリコン、又はゲルマニウム、炭素を含む、ドーピングを施したも
の、又はシリコンゲルマニウム等の組み合わせ;更に、単晶質、多晶質、ナノ結晶質、又は非晶質を含む様々な結晶構造を持つこれらの材料;更に、結晶構造の組み合わせ、例えば単晶質構造を持つ領域及び多晶質構造を持つ領域(ドーピングした又はドーピングしていない)の組み合わせで形成されていてもよい。
更に、微小機械加工を施した機械構造12は、加速時計、ジャイロスコープ、又は他のトランスジューサー(例えば、圧力センサ、歪みセンサ、触覚センサ、磁気センサ、及び/又は温度センサ)又は共振器であってもよい。微小機械加工を施した機械構造12は、更に、加速時計、ジャイロスコープ、圧力センサ、触覚センサ、及び温度センサのうちの1つ又はそれ以上を含む複数のトランスジューサー又はセンサでできた機械構造を含んでいてもよい。微小機械加工を施した機械構造12が加速時計である場合には、機械構造20a−dは、加速時計の検出装置を構成するインターディジタル電極アレイ即ち櫛状フィンガ電極アレイの一部であってもよい(例えば、米国特許第6,122,964号を参照されたい)。
図2を参照し続けると、フィールド領域22a及び22b、及びコンタクト領域24が、更に、基板14上に又は基板内に配置されている。フィールド領域22は、データ処理電子装置16及び/又はインターフェース回路18の電子部品、電気部品、又は集積回路(例えばトランジスタ、抵抗器、コンデンサー、インダクター、及び他の受動素子又は能動素子)用の基板材料を提供してもよい。コンタクト領域24は、微小機械加工を施した機械構造12、データ処理電子装置16、インターフェース回路18、及び/又は外部装置(図示せず)の間に電気的経路を提供してもよい。フィールド領域22及びコンタクト領域24は、例えば、シリコン(ドーピングされた、又はドーピングがなされていない)、ゲルマニウム、シリコン/ゲルマニウム、シリコンカーバイド、及びガリウム砒素でできていてもよい。
図3は、機械構造20a−dを含む、本発明の一実施形態による微小機械加工を施した機械構造12の破線a−a’に沿った断面図を示す。機械構造20a−dはチャンバ26内に配置されている。一実施形態では、チャンバ26は封緘層28a及び28bによってシールされており、即ち封緘されている。
封緘層28a及び28bは、例えば半導体でできていてもよい。一実施形態では、封緘層28a及び28bは、シリコン(ドーピングした又はドーピングしていない、単結晶シリコン、多晶質シリコン、非晶質シリコン、又は多孔質多晶質シリコン)、ゲルマニウム、シリコン/ゲルマニウム、シリコンカーバイド、及びガリウム砒素(及びこれらの組み合わせ)でできていてもよい。封緘層28a及び28bは、同じ材料であってもよいし異なる材料であってもよい。
封緘層28a及び28bは、同じ又は異なる技術を使用して付着させ、形成し、及び/又は成長してもよい。例えば、封緘層28aは、低圧(「LP」)化学蒸着(「CVD」)プロセス(チューブ又はEPI反応器中で行う)又はプラズマ(「PE(plasmaenhanced)」)CVDプロセスを使用して付着した多晶質シリコンであってもよく、封緘層28bは、大気圧(「AP」)CVDプロセスを使用して付着したドーピングした多晶質シリコンであってもよい。別の態様では、例えば、封緘層28aは、LPCVDプロセスを使用して付着したシリコンゲルマニウムであってもよく、封緘層28bは、PECVDプロセスを使用して付着したドーピングした多晶質シリコンであってもよい。確かに、チャンバ26を封緘するための全ての半導体及び付着技術及びその組み合わせは、現在周知であろうと後に開発されようと、本発明の範疇に含まれる。
1つ又はそれ以上のトランスジューサー又はセンサ(例えば、加速時計、ジャイロスコ
ープ、圧力センサ、触覚センサ、及び/又は温度センサ)の機械構造は単一のチャンバ内に収容され即ち含まれていて、そのチャンバ内の環境に露呈されていてもよいということに着目されたい。こうした状況では、チャンバ26内の環境が、微小機械加工を施した1つ又はそれ以上の機械構造(例えば、加速時計、圧力センサ、触覚センサ、及び/又は温度センサ)の機械構造に対して機械的減衰を提供する。
更に、1つ又はそれ以上のトランスジューサー又はセンサの機械構造は、垂直方向及び/又は水平方向に積み重ねられた即ち相互連結された多数の層をそれ自体が含んでいてもよい。(例えば、図11のAの微小機械加工を施した機械構造12b;図11のB及び図11のCの機械構造12;及び図11のDの機械構造20a及び20b、コンタクト領域24a及び24b、及び埋め込み接点24’及び24’’を参照されたい)。かくして、この状況では、多数の層を垂直方向及び/又は水平方向で積み重ね及び/又は相互連結する1つ又はそれ以上の加工工程を使用して機械構造を製造する。
図4のA及び図4のBを参照し、微小機械加工を施した機械構造12の例示の組み立て又は製造方法を説明する。この説明は、第1犠牲層30、例えば二酸化シリコン又はシリコンナイトライドに配置された機械構造20a−d及びコンタクト領域24を含む、部分的に組み立てられた状態から始める。機械構造20a−d及びコンタクト領域24は、周知の付着、リソグラフ、エッチング、及び/又はドーピング技術、並びに周知の材料(例えば、シリコン、ゲルマニウム、シリコン−ゲルマニウム、又はガリウム砒素等の半導体)を使用して形成されていてもよい。更に、フィールド領域22a及び22b及び第1犠牲層30は、絶縁体層上にシリコン層を形成する周知のSOI技術(図4のA参照)、又は標準的な又はオーバーサイズ(「厚い」)ウェーハを使用する周知の形成、リソグラフ、エッチング、及び/又は付着技術(図4のB参照)を使用して形成されてもよい。特に、フィールド領域22a及び22b、機械構造20、及びコンタクト領域24は、図4のAに示すように単晶構造(例えば単結晶シリコン)を備えていてもよく、多晶質構造、又は図4のBに示すように単晶構造又は多晶質構造の両方を備えていてもよい(即ち、フィールド領域22a及び22bは単晶構造、例えば単結晶シリコンでできていてもよく、機械構造20及びコンタクト領域24は多晶質構造、例えば多晶質シリコンでできていてもよい。確かに、第1犠牲層30上に配置された機械構造20a−d及びコンタクト領域24を含む部分的に形成された装置をつくり出すための全ての技術、材料、及び結晶構造は、現在周知であろうと後に開発されようと、本発明の範疇に含まれる。
図4のCを参照すると、機械構造20a−d及びコンタクト領域24の形成後、第2犠牲層32、例えば二酸化シリコン又はシリコンナイトライドを付着し及び/又は形成し、機械構造20a−dを、封緘プロセスを含むこれに続いて行われるプロセス中に固定し、離間し、及び/又は保護する。更に、これに続いて電気接点を形成するため、第2犠牲層32に開口部34をエッチングし即ち形成する。開口部34は、第2犠牲層32の付着及び/又は形成の前又はその最中に例えば周知のマスキング技術(ナイトライド(窒化物)マスク)を使用して形成されてもよいし、第2犠牲層32の付着及び/又は形成後に周知のリソグラフ技術及びエッチング技術を使用して形成されてもよい。
図4のD、図4のE、及び図4のFを参照すると、その後、第2犠牲層32上に第1封緘層28aを付着し、形成し、及び/又は成長させてもよい(図4のD参照)。一実施形態では、第2犠牲層32と重なる領域での第1封緘層28aの厚さは1μm乃至25μmであってもよい。第2犠牲層32のエッチング後に第1封緘層28aに作用する外部環境からの応力及び内部応力は、第1封緘層28aの厚さに影響を及ぼす。僅かな引張応力が加わったフィルムは、それ自体、圧縮力が加わったフィルムよりも良好に支持される。圧縮力が加わったフィルムは挫屈する場合がある。
第1封緘層28aをエッチングし、通路又は開孔36を形成してもよい(図4のE参照)。1つの例示の実施形態では、開孔の直径即ち孔径は0.1μm乃至2μmである。
開孔36は、第1及び第2の犠牲層30及び32の夫々の少なくとも選択された部分のエッチング及び/又は除去を行うことができる(図4のF参照)。例えば、一実施形態では、第1及び第2の犠牲層30及び32は、二酸化シリコンでできており、これらの層30及び32の選択された部分の除去/エッチングを周知の湿式エッチング技術及び緩衝HF混合物(即ち緩衝酸化エッチング)又はHF蒸気を使用する周知の蒸気エッチング技術を使用して行うことができる。機械構造20a−d及び犠牲層30及び32の適正な設計及びHFエッチングプロセスパラメータにより、機械エレメント20a−dの周囲の犠牲層30を全て又はほぼ全て除去し、これによってエレメント20a−dを解放し、これによってMEMS10を適正に作動できるようにするのに十分に犠牲層30をエッチングできる。
別の実施形態では、第1及び第2の犠牲層30及び32はシリコンナイトライドでできており、層30及び32の選択された部分を燐酸を使用して除去/エッチングする。この場合も、機械構造20a−d及び犠牲層30及び32の適正な設計及び湿式エッチングプロセスの制御により、機械エレメント20a−dの周囲の犠牲層30を全て又はほぼ全て除去するのに十分に犠牲層30をエッチングできる。
犠牲層30及び/又は32をなくし、除去し、及び/又はエッチングする上で、(1)層30及び/又は32用の多くの適当な材料(例えば、二酸化シリコン、シリコンナイトライド、及びドーピングした又はドーピングしていないガラス状材料、例えばホスホシリケート(「PSG」)又はボロホスホシリケート(「BPSG」))及びスピンオンガラス(「SOG」)、(2)多くの適当な/関連したエッチング剤(例えば、緩衝オキシドエッチ、燐酸及び例えばNaOH及びKOH等のアルカリ水酸化物)、及び(3)多くの適当なエッチング又は除去技術(例えば、湿式エッチング、プラズマエッチング、蒸気エッチング、又は乾式エッチング)があるということに着目されたい。確かに、層30及び/又は32は、ドーピングした又はドーピングしていない半導体(例えば多晶質シリコン、シリコン/ゲルマニウム、又はゲルマニウム)であってもよく、こうした場合には、機械構造20a−d及びコンタクト領域24は、エッチングプロセス又は除去プロセスによる悪影響が機械構造20a−d及びコンタクト領域24に作用しない場合(例えば、構造20a−d及びコンタクト領域24がエッチングプロセス又は除去プロセス中に保護されている(例えば酸化物層がシリコンを基材とした構造20a−dを保護している)場合、又は構造20a−d及びコンタクト領域24が層30及び/又は32のエッチングプロセス又は除去プロセスによる悪影響を受ける材料で形成されている場合)には、同じ又は同様の(同様に加工された、エッチングが施された、又は除去された)半導体である。従って、なくし、除去し、及び/又はエッチングを行うための全ての材料、エッチング剤及びエッチング技術、及びこれらの組み合わせは、現在周知であろうと後に開発されようと、本発明の範疇に含まれる。
更に、特定の実施形態では、開孔の形成に加え、第1封緘層28aのエッチングプロセスにより、更に、コンタクトビア38を形成し、電気コンタクト領域24から第1封緘層28aのレベルまで又はその上方までの電気的連続性を促すということに着目されたい(図4のF参照)。このようにして、例えば、電気コンタクト領域24と重なる第1封緘層28aの部分の除去、及び適当な材料の付着、形成、及び/又は成長(これによりMEMSの様々な層、例えば単結晶シリコン間に適切な電気コンタクトを提供する)と関連した加工等の追加の加工をなくし及び/又は少なくすることができる。確かに、周知の不純物インプランテーション技術を使用してコンタクトビア38の抵抗又は導電性を調節(例えば抵抗を下げ、及び/又は導電性を向上する)してもよい。
更に、コンタクト24は、第1及び第2の犠牲層30及び/又は32によって一部が、実質的に、又は全体が取り囲まれたままであってもよい。例えば、図4のFを参照すると、機械構造20a−dは夫々の下側からオキシドコラムがなくならないけれども、電気コンタクト領域24の下の犠牲層30のコラム40が電気コンタクト領域24に追加の物理的支持並びに電気絶縁を提供する。
図4のGを参照すると、機械エレメント20a−dの解放後、第2封緘層28bの付着、形成、及び/又は成長を行ってもよい。第2封緘層28bは、例えば、シリコンを基材とした材料(例えば多晶質シリコン又はシリコン−ゲルマニウム)であってもよく、これは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着される。付着、形成、及び/又は成長は、形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。材料は、第1封緘層28aと同じであってもよいし異なっていてもよい。しかしながら、第1及び第2の封緘層28a及び28bの形成に同じ材料を使用するのが有利である。この場合、例えば、熱膨張率が同じであり、これらの層28aと28bとの間の境界がチャンバ26の「シール」を高める。
一実施形態では、第2封緘層28bは、エピタキシャル反応器及び従来の選択的エピタキシャルシリコン成長と同様の条件を使用してエピタキシャル付着させてもよい。これは、シラン、ジクロロシラン、又はトリクロロシランプロセスでH2ガス及び/又はHClガスで行ってもよい。これらのプロセスは、代表的には、600℃乃至1400℃で行われる。
一実施形態では、第2封緘層28bの、第1封緘層及びエレメント20a−dと重なる領域の厚さは、1μm乃至10μmであってもよい。確かに、機械構造12を含むMEMS10が経時的にスケールを変え、そして様々な及び/又は異なる材料が使用されるため、第1封緘層28a、第2封緘層28b、及びその組み合わせの適当な又は必要な厚さが、同様に変化する。このように、第1封緘層28aの厚さと第2封緘層28bの厚さとの間で1:1乃至1:10の比が有利である。しかしながら、この他の比及び厚さが明瞭に適しているということに着目されたい(図7のA、図7のB、及び図8のA乃至Eを参照されたい)。
第2封緘層28bは、第1封緘層28aの不純物に対して逆の導電性を持つ不純物でドーピングされていてもよい。例えば、第1封緘層28aは硼素でドーピングされていてもよく、第2封緘層28bは燐でドーピングされていてもよい。この方法では、シールプロセス即ち封緘プロセスが完了したとき、電気コンタクト領域24を取り囲むジャンクションが形成され、これらのジャンクションがコンタクト領域24を例えばフィールド領域22bから電気的に「絶縁」する。
コンタクト領域24の近くにこの領域と隣接して及び/又はこの領域の周囲に配置された第2封緘層28bの部分にも、付着、形成、及び/又は成長の後にイオンインプランテーションが加えられてもよい。このようにして、電気「絶縁」性を低下させたり高めたりできる。第2封緘層28bが不純物ドーパントなしで付着及び/又は形成されている場合、イオンインプランテーションが、コンタクト領域24と例えばフィールド領域22bとの間の主要な電気絶縁の全て又はほぼ全てを提供する。確かに、こうした場合には、第2封緘層28bがフィールド領域に亘って延びている場合又はフィールド領域間を延びている場合(これによってこれらの間を電気的に接続している場合)、コンタクト領域24の近くにこの領域と隣接して及び/又はこの領域の周囲に配置された第2封緘層28bのイオンインプランテーション(ドーピングした第2封緘層28bのドーピング又はカウンタドーピング)が、コンタクト領域24と例えばフィールド領域22bとの間の電気絶縁の
全て又はほぼ全てを提供する。
図5のAを参照すると、別の実施形態の組では、微小機械加工を施した機械構造12は、例えば研磨技術(例えば、化学的機械的研磨(「CMP」))を使用して実質的に平坦化されていてもよい。これに関し、第2封緘層28bが第1封緘層28aを越えるレベルまで付着され、形成され、及び/又は成長した場所で、平坦化プロセスにより第2封緘層28bの一部を除去し、「滑らかな」表面層及び/又は(実質的に)平らな表面を形成する。コンタクトビア38が、逆にドーピングした半導体層28bによって、第1封緘層28aに対して電気的に絶縁される(図5のA参照)ように、十分な量の第2封緘層28bを除去するのが有利である。この露呈された平らな表面は、更に、良好に形成されたベースを(例えばフィールド領域22に)提供し、このベース上で集積回路(例えばCMOSトランジスタ)及び微小機械加工を施した機械構造12を周知の製造技術及び機器を使用して製造できる。
MEMS10の高性能の集積回路の一体化を容易にするため、内部又は上側にこのような回路を製造できる単結晶シリコン製のフィールド領域22a及び/又は22bを含むのが有利である。これに関し、図5のBを参照すると、一実施形態では、フィールド領域22a1及び/又は22b1と重なった第1封緘層の一部(即ち22a2及び22b2)を再結晶し、これによって多晶質材料の結晶構造を単晶材料又は実質的単晶材料の構造に「変換」即ち転位する。このようにして、例えばMEMS10で一体化されたデータ演算処理電子装置16のトランジスタ又は他の構成要素を単晶フィールド領域に製造できる。
別の実施形態では、フィールド領域22a1及び/又は22b1と重なった第1封緘層28aの部分を従来のエッチング技術を使用して除去し、フィールド領域22a1及び/又は22b1を露呈する。その後、単結晶シリコンがフィールド領域22a1及び/又は22b1上で成長し、これによってフィールド領域22a2及び22b2を提供する。
更に別の実施形態では、フィールド領域22a1及び/又は22b1と重なった第1封緘層28aの部分をエッチングし、単結晶シリコンでできたフィールド領域22a1及び/又は22b1を露呈する。その後、トランジスタ又は他の能動素子を周知の製造技術を使用してフィールド領域22a及び/又は22b内に又はその上に一体化してもよい。
図6のA乃至Fを参照すると、別の実施形態の組では、第1封緘層28aの付着、形成、及び/又は成長の前に、これと同時に、又は直後に、微小機械加工を施したフィールド領域22a2及び22b2が成長してもよい。例えば、図6のAを参照すると、第2犠牲層32の付着又は形成の前、又はその後に、エピタキシャルで付着した、単結晶シリコンフィールド領域22a2及び22b2の封緘層を第2犠牲層32よりも上の又はこれを越えるレベルまで成長させてもよい。別の態様では、単結晶シリコンフィールド領域22a2及び22b2は、第2犠牲層32よりも上の又はこれを越えるレベルまで成長しない。
図6のBを参照すると、一実施形態では、単結晶シリコンフィールド領域22a2及び22b2(及びコンタクト領域24)の成長後、第1封緘層28aを付着し、形成し、及び/又は成長してもよい。第1封緘層28aは、例えば、シリコンを基材とした材料(例えばドーピングした又はドーピングがなされていない、シリコン/ゲルマニウム、シリコンカーバイド、単結晶シリコン、多晶質シリコン、又は非晶質シリコン)、ゲルマニウム、及びガリウム砒素(及びその組み合わせ)であってもよく、例えばエピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着及び/又は形成される。付着、形成、及び/又は成長は、形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。材料は、第1単結晶シリコンフィールド領域22a2及び22b2と同じであってもよいし
異なっていてもよい。例示の実施形態では、第1封緘層28aは多晶質シリコン材料で形成されている。
微小機械加工を施した機械構造12の、これに続いて行われる加工は、図4のE−Gに関して上文中に説明したのと実質的に同じである。このように、微小機械加工を施した機械構造12に関する、図4のE−Gと関連した上文中の説明は、この実施形態の組に完全に適用できる。簡潔のため、説明を繰り返すのを控えるが、簡単に述べる。
簡単に述べると、第1封緘層28aにエッチングを加え(図6のC参照)、第1及び第2の犠牲層30及び32の夫々の少なくとも選択された部分のエッチング及び/又は除去を行うための通路即ち開孔36を形成する(図6のD参照)。この場合も、機械構造20a−d及び犠牲層30及び32を適当に設計するため、エッチングプロセスのパラメータを制御することにより、機械エレメント20a−dの周囲の層30を全て又はほぼ全て除去するのに十分に犠牲層30をエッチングでき、これにより、機械エレメント20a−dを解放し、MEMS10が適正に作動できるようにする(図6のD参照)。
機械エレメント20a−dの解放後、第2封緘層28bを付着し、形成し、及び/又は成長する(図6のE参照)。第2封緘層28bは、例えば、シリコンを基材とした材料(例えば、単結晶シリコン、多晶質シリコン、及び/又はシリコン−ゲルマニウム)であってもよく、これは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着される。付着、形成、及び/又は成長は、形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。材料は、第1封緘層28aと同じであってもよいし異なっていてもよい。しかしながら、上文中に説明したように、チャンバ26の「シール」を高めるため、第1及び第2の封緘層28a及び28bを形成するのと同じ材料を使用するのが有利である。
第2封緘層28bの下の材料及び/又は表面、並びに第1及び第2の封緘層28bの付着、形成、及び/又は成長に使用される技術は、先ず最初に、下側の材料の結晶構造を確認するということに着目されたい。例えば、所定のパラメータの組を持つエピタキシャル環境では、封緘層28a及び/又は28bの単晶構造を「再処理」的に付着、形成、及び/又は成長させてもよい(図7のA参照)。これとは対照的に、別の所定のパラメータ組では、封緘層28a及び/又は28bの単晶構造を「アドバンシング」的に付着、形成、及び/又は成長させてもよい(図7のB参照)。以上説明した構造及びエレメントは、これらの及び他の方法で付着、形成、及び/又は成長させてもよい。従って、単晶構造を持つ材料(例えばフィールド領域22a1)に付着、形成、及び/又は成長させた単晶構造(例えばフィールド領域22a2)を、使用される方法又はプロセスに拘わらず、垂直方向(例えば図7のC参照)に付着、形成、及び/又は成長するものとして概略に例示する。
更に、チャンバをシール又は封緘するとき、第2封緘層28bを形成する材料をチャンバ26の表面(例えば、機械構造20a−dの表面)に付着、形成、及び/又は成長してもよいということに着目すべきである。第2封緘層28bを付着、形成、及び/又は成長するとき、構造及び/又はチャンバ26内の表面の所望の一体性を保存するように注意を払わなければならない。
上述のように、特定の実施形態では、第2封緘層28bを第1封緘層28aの不純物に対して逆の導電性を持つ不純物でドーピングする。このようにして、シールプロセス即ち封緘プロセスの完了時に電気コンタクト領域24を取り囲むジャンクションが形成され、これらのジャンクションによりコンタクト領域24を例えばフィールド領域22bから電
気的に「絶縁」する。
更に、上述のように、別の実施形態の組では、第2封緘層28bを第1封緘層28aを越えるレベルまで付着、形成、及び/又は成長させる場合、露呈された表面を、例えば研磨技術(例えばCMP)を使用して実質的に平らにするのが有利である。平坦化プロセスは、第2封緘層28bの一部を除去し、「滑らかな」表面層及び/又は(実質的に)平らな表面を形成する。確かに、平坦化プロセスは、逆にドーピングされた半導体層28bのリングによってコンタクトビア38を電気的に絶縁するように、第2封緘層28bの十分な部分を除去する(図6のF参照)。この場合も、上述のように、この露呈された平らな表面は、更に、良好に形成されたベースを提供する。このベース上に、集積回路(例えばCMOSトランジスタ)及び/又は微小機械加工を施した機械構造12が、周知の製造技術及び機器を使用して製造される。
図示のように、単結晶シリコンフィールド領域22b2がコンタクト領域24上に及びその上方に成長する。別の実施形態では、フィールド領域22b2はコンタクト領域24上に及びその上方に成長しない。この実施形態では、コンタクトビア38が、単結晶シリコンでなく、主として多晶質シリコンで形成されている。更に、上文中に説明したように、コンタクトビア38はこのコンタクトビア38を形成する材料の導電性を高めるために不純物でドーピングしてある。
図8のA乃至Eを参照すると、別の実施形態の組では、第1封緘層28aは透過性であってもよいし、半透過性であってもよい(例えば、スパッタリングした多晶質シリコン又は多孔質CVD及び/又はエピタキシャル付着した多晶質シリコン)。この実施形態の組では、層28aを形成する透過性又は半透過性材料を通して層30及び32のエッチングプロセス又は除去プロセスを行うことができる。その後、第2封緘層28b(例えば多晶質シリコン)を第1封緘層28a上に付着、形成、及び/又は成長するとき、材料が第1封緘層28aの小孔内に移動し、これを充填し、及び/又は満たす。この状況で、第2封緘層28bの付着、形成、及び/又は成長中、比較的少量の材料がチャンバ26内の構造の表面に付着してもよい。このように、チャンバ26が第1封緘層28aの上面(即ち、付着、形成、及び/又は成長プロセスに最初に露呈された表面−図8のDを参照されたい)に向かって「シール」され即ち封緘される。
例えば、一実施形態では、透過性又は半透過性材料は、厚さが0.1μm乃至2μmのスパッタリングした多晶質シリコン又は多孔質CVD付着多晶質シリコンである。層30及び32のエッチング及び/又は除去を行った後、第2封緘層28bの厚さは5μm乃至25μmであってもよい。
図8のCを参照すると、アニーリングプロセスを使用して第1封緘層28aを構成する材料を高密度化し、これによって「閉鎖」し、チャンバ26を「シール」してもよい。即ち、この実施形態では、第1及び第2の犠牲層30及び32をエッチングした後、微小機械加工を施した機械構造12を熱処理することにより、層28aの材料を高密度化することによって、チャンバをシールし即ち封緘する。このように、第2封緘層28bはチャンバ26を最初にシールする上で必ずしも必要ではない。
図8のEを参照すると、一実施形態では、チャンバ26の封緘プロセスに三つ又はそれ以上の封緘層が含まれていてもよい。第2封緘層28b及び第3封緘層28c(又はこれに続く/追加の層)の付着、形成、及び/又は成長を行い、チャンバ26を「シール」する。詳細には、第2封緘層28bは、例えば半導体(例えばシリコン、シリコンカーバイド、シリコン−ゲルマニウム、又はゲルマニウム)、又は金属支承材料(例えばシリサイド又はTiW)であってもよく、これは、例えば、エピタキシャル、スパッタリング、又
はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着される。付着、形成、及び/又は成長は、形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。封緘層28bを構成する材料は、第1封緘層28aと同じであってもよいし異なっていてもよい。
その後、第3封緘層28cの付着、形成、及び/又は成長を行ってもよい(図8のE参照)。第3封緘層28cは、チャンバ26を「シール」し、又は閉鎖し、又は更に完全に「シール」する。第3封緘層28cの付着、形成、及び/又は成長は、封緘層28a及び/又は28bと同じであってもよく、実質的に同様であってもよく、又は異なっていてもよい。これに関し、第3封緘層28cは、例えば、半導体、絶縁体(例えばシリコンナイトライド又はシリコン酸化物)、プラスチック(例えばフォトレジスト又は低K誘電体)又は金属支承材料でできていてもよい。第3封緘層28cは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着、形成、及び/又は成長が行われる。付着、形成、及び/又は成長は、形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。
高性能集積回路をMEMS10に容易に一体化するための上文中に説明した技術は、図8のA乃至Eに示す実施形態で実施できるということに着目されたい。これに関し、このような回路が内部又は上側で製造される単結晶シリコンでできたフィールド領域22aを含むのが有利である。一実施形態では、フィールド領域22aと重なった第1封緘層の一部を再結晶することによって、スパッタリングした非晶質シリコン又は多孔質CVDO/又はエピタキシャルで付着した多晶質シリコンの結晶構造を、単晶材料又は実質的に単晶材料に「変換」し、又は転位する。このようにして、MEMS10と一体化した例えばデータ処理電子装置16のトランジスタ又は他の素子を単晶フィールド領域22a及び/又は22bに形成できる。
別の実施形態では、フィールド領域22aと重なった第1封緘層28a(及び/又は第2封緘層28b)の部分を従来のエッチング技術を使用して除去し、フィールド領域22aを露呈する。その後、単結晶シリコンをフィールド領域22a上で成長させてもよい。別の態様では、フィールド領域22aと重なった第1封緘層28a(及び第2封緘層28b)の部分をエッチングし、単晶材料を露呈し、その後、トランジスタ又は他の能動素子を周知の製造技術を使用してフィールド領域22a内に又は上に一体化してもよい。
更に、封緘層28c(例えば図8のE及び図9のAを参照されたい)を付着、形成、及び/又は成長し、例えば更に平らな表面、加工を続いて行うためのエッチング停止層、絶縁層、グラウンド平面、パワー平面を形成し、及び/又はチャンバ26の「シール」を高め、及びこれによって流体42の拡散に対するバリヤを高めてもよいということに着目されたい。例えば、図9のA乃至Cを参照すると、層28cは絶縁層であってもよく、この層は、これに加えて(又はその代わりに)、コンタクト領域24及びコンタクトビア又はプラグ38との適当な相互接続を(例えば高度にドーピングしたポリシリコン又は金属層をして)容易にする。その後(又はこれと同時に)、層28cにパターンを付け、コンタクト開口部44を形成する(図9のBを参照されたい)。次いで、高度に導電性(低電気抵抗)の材料(例えば高度にドーピングした半導体及び/又はアルミニウム、クロム、金、銀、モリブデン、プラチナ、パラジウム、タングステン、チタニウム、及び/又は銅等の金属)を付着し、相互接続を容易にする(図9のC参照)。
絶縁層28c及び層46の付着、形成、及び/又は成長は、MEMS10の集積回路製造の「バックエンド」における最終プロセス工程のうちの二つであってもよいということに着目されたい。これに関し、このようなプロセスをMEMS10の集積回路製造の「バ
ックエンド」の絶縁及びコンタクト形成工程と「組み合わせ」る。この方法により、製造費用を抑えることができる。
封緘層28cの目的又は機能に応じて、例えば、半導体(例えば、多晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、又はゲルマニウム)、絶縁体(例えば二酸化シリコン、シリコンナイトライド、BPSG、PSG、又はSOG)、又は金属支承体(例えばシリサイド)であってもよい。封緘層28cは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着、形成、及び/又は成長を行ってもよい。付着、形成、及び/又は成長は、形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。封緘層28cを形成する材料は、他の封緘層と同じであってもよいし異なっていてもよい。
実施形態の別の組では、第2封緘層28bは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着及び/又は形成した金属(例えば、アルミニウム、クロム、金、銀、モリブデン、プラチナ、パラジウム、タングステン、チタニウム、及び/又は銅)、金属酸化物(例えば、アルミニウム酸化物、タンタル酸化物、及び/又はインジウム酸化物)、合金(例えば、チタニウムナイトライド、チタニウムタングステン、及び/又はAl−Si−Cu)、及び/又は金属−シリコン化合物(例えば、タングステンシリサイド、チタニウムシリサイド、及び/又はニッケルシリサイド等のシリサイド)(下文において集合的に「金属支承材料」と呼ぶ)で形成されていてもよい。実施形態のこの組では、第1封緘層28aは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着及び/又は形成した金属支承材料、半導体、又は絶縁体でできていてもよい。
例えば、図10のAを参照すると、MEMS10の集積回路部分の形成後(形成する場合)、第1封緘層28aを第2犠牲層32上に付着、形成、及び/又は成長すると同時にフィールド領域22及びコンタクト領域24の選択された部分をマスクによって保護するか或いはエッチングによって再露呈する。第1封緘層28aは、例えば、絶縁体(例えば、シリコンナイトライド、二酸化シリコン、PSG、又はBPSG)であってもよく、これらは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着される。付着、形成、及び/又は成長は、例えば形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。確かに、第1封緘層28aの付着、形成、及び/又は成長は、MEMS10の集積回路製造の「バックエンド」におけるプロセス工程であってもよい。
その後、第1封緘層28aをエッチングし、通路又は開孔36(図10のB参照)を形成してもよい。第1及び第2の犠牲層30及び32の夫々の少なくとも選択された部分が機械エレメント20a−dを解放すると、MEMS10の適正な作動を可能にする(図10のC参照)。
機械エレメント20a−dの解放後、第2封緘層28bを付着、形成、及び/又は成長してもよい(図10のD参照)。この実施形態では、第2封緘層28bは、例えば、エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD)を使用して付着される金属支承材料である。付着及び/又は形成は、形態一致プロセスによって行われてもよいし又は非形態一致プロセスによって行われてもよい。第2封緘層28bの付着、形成、及び/又は成長もまた、MEMS10の集積回路製造の「バックエンド」のコンタクト形成工程と「組み合わせ」ることができる。このようにして、製造費を抑えることができる。
その後、コンタクト領域24を例えばフィールド領域22から電気的に「絶縁」するのが有利である。一実施形態では、これは、平坦化技術を使用して行われる。これに関し、第2封緘層28bの露呈面は、例えば研磨技術(例えばCMP)を使用して実質的に平坦化される。平坦化プロセスは、コンタクト領域24を電気的に絶縁するために第2封緘層28bの十分な部分を除去する。別の実施形態では、第2封緘層28bの選択された部分をエッチングしてもよい(図10のF参照)。
フィールド領域22と重なる第2封緘層28aの部分を従来のエッチング技術を使用して除去し、フィールド領域を露呈してもよいということは理解されるべきである(図9のE参照)。このようにして、単結晶シリコンをフィールド領域22で成長及び/又は形成してもよい。その後、トランジスタ又は他の能動素子を周知の製造技術を使用してMEMS内に又はその上に一体化してもよい。
確かに、第1封緘層28aをフィールド領域22及び/又は24上でマスクなしで付着、形成、及び/又は成長した場合には、フィールド領域22及び/又は24と重なった第1封緘層28aの選択された部分をエッチングし、部分及び単結晶シリコンを露呈する。その後、単結晶シリコンを成長させ、トランジスタ又は他の能動素子を上文中に説明したように一体化できるようにする。
更に、チャンバ26内の環境(例えばガス又はガス蒸気圧)により、機械構造20a−dについての機械的減衰の程度が程度決まるということに着目すべきである。これに関し、チャンバ26は、このチャンバ内に「捕捉」され、「シール」され、及び/又は収容された流体42を含む。チャンバ26内の流体42の状態(例えば圧力)は、従来の技術を使用して、及び/又は2003年3月20日に出願され且つ譲渡された「制御された雰囲気を持つ電気機械的装置及びその製造方法」という表題の米国非仮特許出願第10/392,528号に記載されており且つ図示された技術を使用して決定される。(以下、「制御された雰囲気を持つ電気機械的装置特許出願」と呼ぶ)
制御された雰囲気を持つ電気機械的装置特許出願に記載され且つ例示された発明は、本願に記載され且つ例示された任意の及び全ての発明で実施できる。例えば、上文中に説明した封緘技術は、制御された雰囲気を持つ電気機械的装置特許出願に記載された技術で、選択された、所望の、及び/又は所定の状態の流体をチャンバ内に捕捉し及び/又はシールするために実施できる。このようにして、流体は、チャンバ内の機械構造に対し、所望の、所定の、適当な、及び/又は選択された機械的減衰を提供する。
別の例として、制御された雰囲気を持つ電気機械的装置特許出願には、モノリシックに一体化された、微小機械加工を施した、1つ又はそれ以上の電気機械的装置を持つ複数の機械構造(例えばジャイロスコープ、共振器、温度センサ、及び/又は加速時計)を含むMEMSが記載されている。図11のAを参照すると、一実施形態では、MEMS10は、微小機械加工を施した複数の機械構造12a−cを含む。これらの機械構造は、基板14上にモノリシックに一体化されているか或いは基板内に配置されている。微小機械加工を施した複数の機械構造12a−cの各々は、1つ又はそれ以上の機械構造20a−p(明瞭化を図るため、それらの一部だけに番号が付してある)を含む。これらの機械構造は、チャンバ26a−dに配置されている。
特定の実施形態では、チャンバ26a−dは、上文中に説明した技術を使用してシールされ又は封緘されている。チャンバ26a−dのシール又は封緘は、同じ又は実質的に同じ方法で、又は異なる技術を使用して行われていてもよい。このようにして、複数の構造12a−dは、機械構造20a−pに対し、同じ、実質的に同じ、異なる、又は実質的に異なる所望の、所定の、適当な、及び/又は選択された機械的減衰を提供するように形成
されていてもよい。
確かに、少なくとも1つの実施形態では、構造12cは複数のチャンバ、即ちチャンバ26c及び26dを含み、これらのチャンバは流体42c及び42dを夫々収容している。チャンバ22c及び22dは、流体42c及び42dの夫々が、同じ又は実質的に同じ選択された、所望の、及び/又は所定の状態に維持されるようにシールされ即ち封緘されていてもよい。このように、この実施形態では、流体42c及び42dは、機械構造20h−k及び20l−pの夫々に対し、同じ又は実質的に同じ選択された、所望の、所定の、適当な、及び/又は選択された機械的減衰を提供してもよい。
別の態様では、少なくとも別の実施形態において、チャンバ26c及び26dは、流体42c及び42dが「捕捉」され、「シール」され、維持され、及び/又はチャンバ26c及び26dの夫々に、異なる又は実質的に異なる選択された、所望の、及び/又は所定の状態で収容されるように、異なる又は異なった技術を使用してシール又は封緘されていてもよい。この実施形態では、チャンバ26c及び26dは、様々なプロセス技術、様々なプロセス条件、及び/又は様々な材料(例えばガス、又はガス蒸気)を使用して「シール」されていてもよい。このように、封緘後、流体42c及び42dは、機械構造20h−k及び20l−pの夫々に対し、異なる又は実質的に異なる機械的減衰特性を提供する。このようにして、微小機械加工を施した機械構造12cは、最適の、所定の、所望の作動に対して異なる又は実質的に異なる機械的減衰特性を必要とする様々な電気機械的装置(例えばジャイロスコープ、共振器、温度センサ、及び/又は加速時計)を含んでもよい。簡潔化を図るため、ここでは、制御された雰囲気を持つ電気機械的装置特許出願に記載された全ての発明を繰り返さない。しかしながら、例えば、全ての発明の特徴、寄与、変形例、材料、技術、及び利点を含む、制御された雰囲気を持つ電気機械的装置特許出願の全ての内容は本明細書中に含まれたものとする。
上述のように、一組の実施形態では、モノリシック構造は、共通の基板上に又はこの基板内に一体化した機械構造12及びデータ処理電子装置16及び/又はインターフェース回路18を含んでいてもよい。図12のA乃至図12のCを参照すると、MEMS10は、構造20a乃至20d及びコンタクト領域24を持つ微小機械加工を施した機械構造12、並びにフィールド領域22b(及び/又は領域22a(図示せず))に配置された集積回路50を含むデータ処理電子装置16を含む。上述のように、機械構造20a−20d(及びコンタクト24)は、例えば、単晶材料(図12のA及びB)又は多晶質材料(図12C)から形成されていてもよい。更に、コンタクトビア又はプラグ38は、更に、例えば、主として、単晶材料(図12のB)及び多晶質材料(図12のA及びC)から形成されていてもよい。
機械構造12は、低抵抗層46を介して集積回路50に電気的に接続されていてもよいということに着目すべきである。集積回路50は、従来技術を使用して形成されていてもよい。
詳細には、集積回路50がコンタクト24に直接アクセスする場合には、低抵抗電路を提供するのが有利である。絶縁層48は、コンタクト領域24と相互接続するため、又は相互接続を容易にするため、付着、形成、及び/又は成長させ、パターン付けされていてもよい。その後、低抵抗層46(例えば高度にドーピングした、ポリシリコン、又はアルミニウム、クロム、金、銀、モリブデン、プラチナ、パラジウム、タングステン、チタニウム、及び/又は銅等の金属)を形成する。
本明細書中に多くの発明を記載し且つ例示した。本発明の特定の実施形態、特徴、材料、形体、寄与、及び利点を説明し且つ例示したが、本発明の多くの他の並びに異なる及び
/又は同様の実施形態、特徴、材料、形体、寄与、構造、及び利点は、説明、例示、及び特許請求の範囲から明らかであるということは理解されるべきである。このように、本明細書中に記載し且つ例示した本発明の特徴、材料、形体、寄与、構造、及び利点は、網羅的ではなく、本発明のこのような他の、同様の、並びに異なる実施形態、特徴、材料、形体、寄与、構造、及び利点は、本発明の範囲内にあるということは理解されるべきである。
例えば、本明細書中に例示し且つ説明した任意の及び全ての実施形態には、垂直方向及び/又は横方向に積み重ねられ又は相互接続された(例えば、図11のB、図11のC、及び図11のDの微小機械加工を施した機械構造12)、機械構造の多数の層、コンタクト領域、及び剥き出しのコンタクトが含まれていてもよい。更に、機械構造の単一の及び多数の層自体は、垂直方向及び/又は横方向に積み重ねられ又は相互連結されていてもよい(例えば、図11のAの微小機械加工を施した機械構造12bを参照されたい)。更に、結果的に得られた微小機械加工を施した機械構造12は、共通の基板14上で集積回路50と一体化されていてもよい。集積回路50に対し、微小機械加工を施した機械構造12の任意の垂直方向及び/又は横方向位置が適している。
更に、図13を参照すると、チャンバ26をシール即ち封緘するとき、第2の即ち続く封緘層(例えば第2封緘層28b)をチャンバ26の構造の選択された表面上に(例えば機械構造20a−d及びフィールド領域22a及び22bに)付着、形成、及び/又は成長する場合、追加の材料の付着、形成、及び/又は成長を考慮に入れて機械構造20a−dを設計し製造するのが有利である。機械構造20a−dの表面上の追加の材料28b’の厚さは、適切には、開孔36の幅又は直径とほぼ等しくてもよい。従って、一組の実施形態では、機械構造20a−dの設計(例えば厚さ、高さ、幅、及び/又はチャンバ36内の他の構造に対する横方向及び/又は垂直方向関係)には、このような追加の材料28b’が含まれ、最終構造を提供するための機械構造20a−dの製造には少なくとも二つの工程が含まれる。第1工程は、機械構造20a−dを初期寸法に従って(例えば、図4のA及び図4のBに関して上文中に説明したように)製造する工程であり、第2工程は、少なくとも1つの封緘層、例えば第2封緘層28b及び/又は続く封緘層の付着、形成、及び/又は成長により、材料28b’を付着、形成、及び/又は成長する工程である。
特許請求の範囲中の「付着」及び他の形態の用語(付着物、付着、及び付着した)は、とりわけ、例えば反応器(エピタキシャル、スパッタリング、又はCVDを用いる反応器(例えばAPCVD、LPCVD、及びPECVD))を使用して材料の層を付着、形成、及び/又は成長することを意味する。
更に、特許請求の範囲では、「コンタクト」という用語は、一部又は全部がチャンバの外側に配置された導電性領域、例えばコンタクト領域及び/又はコンタクトビアを意味する。
最後に、本発明をマイクロ機械構造又はエレメントを含むマイクロ電気機械システムに関して説明したが、本発明は、これに限定されないということに着目されるべきである。というよりはむしろ、本明細書中に説明した発明は、例えばナノ電気機械システムを含む他の電気機械システムに適用できる。かくして、本発明は、機械的構成要素を全体として電子装置に適したスケールまで小型化するリソグラフィー及び他の精密製造技術等の製造技術に従って形成された電気機械的装置、例えばジャイロスコープ、共振器、温度センサ、及び/又は加速時計に関する。
10 MEMS
12 機械構造
14 基板
16 データ処理電子装置
18 インターフェース回路
20a−d 機械構造
22a、22b フィールド領域
24 コンタクト領域

Claims (29)

  1. チャンバ内に収容され基板上に配置された機械構造を備えた電気機械的装置の前記チャンバをシールする方法において、
    犠牲層を前記機械構造の少なくとも一部の上に付着する工程と、
    第1封緘層を前記犠牲層の上に付着する工程と、
    前記第1封緘層を貫通する少なくとも1つの開孔を形成し、前記犠牲層の少なくとも一部を除去可能とする工程と、
    前記犠牲層の少なくとも一部を除去し、チャンバを形成する工程と、
    半導体材料からなる第2封緘層を前記開孔上又は前記開孔内に付着し、前記チャンバをシールする工程と
    を含む方法。
  2. 請求項1に記載の方法において、前記半導体材料は、多晶質シリコン、非晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、ガリウム砒素の1つからなる方法。
  3. 請求項2に記載の方法において、前記第1封緘層は、多晶質シリコン、非晶質シリコン、ゲルマニウム、シリコン/ゲルマニウム、ガリウム砒素の1つからなる方法。
  4. 請求項1に記載の方法において、
    前記第1封緘層は、第1の導電率を有する第1領域を形成するように第1の不純物でドーピングされた半導体材料からなり、
    前記第2封緘層は、前記第1の導電率と異なる第2の導電率を有する第2領域を形成するように第2の不純物でドーピングされている方法。
  5. 請求項1に記載の方法において、前記第2封緘層の露呈された表面を平坦化する工程を更に含む方法。
  6. 請求項1に記載の方法において、前記第2封緘層の露呈された表面を平坦化する工程と、前記第2封緘層の少なくとも一部を除去し前記第1封緘層を露呈する工程とを更に含む方法。
  7. 請求項1に記載の方法において、前記第1及び第2の封緘層を付着する前記工程は、エピタキシャル又はCVD反応器を使用する工程を含む方法。
  8. 請求項1に記載の方法において、前記第1封緘層は多孔質多晶質シリコン又は非晶質シリコンからなり、前記第2封緘層は多晶質シリコンからなる方法。
  9. 請求項1に記載の方法において、前記第1封緘層は、単結晶シリコンからなる第1部分と、多晶質シリコンからなる第2部分とを備える方法。
  10. 請求項9に記載の方法において、前記第2封緘層の表面を平坦化して前記第1封緘層の第1部分を露呈させる工程を更に含む方法。
  11. 請求項10に記載の方法において、前記第1封緘層の前記第1部分において単結晶シリコンを成長させる工程を更に含む方法。
  12. チャンバ内に機械構造を有し、前記機械構造を機械的に制動する圧力を有する流体を前記チャンバ内に収容した電気機械的装置の製造方法において、
    半導体材料からなる第1封緘層を前記機械構造上に付着する工程と、
    前記第1封緘層に少なくとも1つの開孔を形成する工程と、
    前記チャンバを形成する工程と、
    前記開孔上又は前記開孔内に半導体材料からなる第2封緘層を付着し、前記チャンバをシールする工程と
    を含む方法。
  13. 請求項12に記載の方法において、前記第1封緘層は、多晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、ガリウム砒素の1つからなる方法。
  14. 請求項13に記載の方法において、前記第2封緘層は、多晶質シリコン、多孔質多晶質シリコン、非晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、ガリウム砒素の1つからなる方法。
  15. 請求項12に記載の方法において、前記第1封緘層の前記半導体は、第1の導電率を持つ第1領域を形成するように第1不純物でドーピングされ、
    前記第2封緘層の前記半導体は、前記第1の導電率と異なる第2の導電性を持つ第2領域を形成するように第2不純物でドーピングされる方法。
  16. 請求項12に記載の方法において、前記第2封緘層の露呈面を平坦化して前記第1封緘層を露呈させる工程を更に含む方法。
  17. 請求項12に記載の方法において、前記第1封緘層は、単結晶シリコンからなる第1部分と、多晶質シリコンからなる第2部分とを備えた方法。
  18. 請求項17に記載の方法において、前記第2封緘層の表面を平坦化して前記第1封緘層の前記第1部分を露呈させる工程を更に含む方法。
  19. 請求項18に記載の方法において、前記第1封緘層の前記第1部分において単結晶シリコンを成長させる工程を更に含む方法。
  20. 電気機械的装置において、
    少なくとも1つの開孔を有する第1封緘層を備えたチャンバと、
    少なくとも一部が前記チャンバ内に配置された機械構造と、
    前記開孔上又は前記開孔内に付着された半導体材料からなる第2封緘層と
    を備えた電気機械的装置。
  21. 請求項20に記載の電気機械的装置において、前記第2封緘層は、多晶質シリコン、多孔質多晶質シリコン、非晶質シリコン、シリコンカーバイド、シリコン/ゲルマニウム、ゲルマニウム、ガリウム砒素の1つからなる電気機械的装置。
  22. 請求項21に記載の電気機械的装置において、前記第1封緘層は、多晶質シリコン、多孔質多晶質シリコン、非晶質シリコン、ゲルマニウム、シリコン/ゲルマニウム、ガリウム砒素、シリコンナイトライド、シリコンカーバイドの1つからなる電気機械的装置。
  23. 請求項20に記載の電気機械的装置において、前記第1封緘層は、第1の導電率を持つ第1領域を形成するように第1不純物でドーピングされた半導体材料からなり、
    前記第2封緘層の半導体材料は、前記第1の導電率と異なる第2の導電性を持つ第2領域を形成するように第2不純物でドーピングされている電気機械的装置。
  24. 請求項20に記載の電気機械的装置において、前記チャンバの外側に配置されたコンタクトを更に備える電気機械的装置。
  25. 請求項24に記載の電気機械的装置において、前記コンタクトは、その領域の導電性を高めるように不純物でドーピングされた半導体材料である電気機械的装置。
  26. 請求項20に記載の電気機械的装置において、前記第1封緘層は、単結晶シリコンからなる第1部分と、多晶質シリコンからなる第2部分とを備える電気機械的装置。
  27. 請求項20に記載の電気機械的装置において、前記第1封緘層は、単結晶シリコンからなる第1部分と、多孔質又は非晶質シリコンからなる第2部分とを備える電気機械的装置。
  28. 請求項27に記載の電気機械的装置において、前記第1封緘層の前記第2部分と重ねられた前記第2封緘層は、多晶質シリコンからなる電気機械的装置。
  29. 請求項28に記載の電気機械的装置において、前記チャンバの外側かつ上側に付着された単結晶シリコンからなるフィールド領域を備えた電気機械的装置。
JP2011183879A 2003-06-04 2011-08-25 マイクロ電気機械的装置及びその封緘方法及び製造方法 Expired - Lifetime JP5281682B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/454,867 US7075160B2 (en) 2003-06-04 2003-06-04 Microelectromechanical systems and devices having thin film encapsulated mechanical structures
US10/454,867 2003-06-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006509406A Division JP4908202B2 (ja) 2003-06-04 2004-03-30 マイクロ電気機械的装置及びその封緘方法及び製造方法

Publications (2)

Publication Number Publication Date
JP2011245620A true JP2011245620A (ja) 2011-12-08
JP5281682B2 JP5281682B2 (ja) 2013-09-04

Family

ID=33489810

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006509406A Expired - Lifetime JP4908202B2 (ja) 2003-06-04 2004-03-30 マイクロ電気機械的装置及びその封緘方法及び製造方法
JP2011183879A Expired - Lifetime JP5281682B2 (ja) 2003-06-04 2011-08-25 マイクロ電気機械的装置及びその封緘方法及び製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006509406A Expired - Lifetime JP4908202B2 (ja) 2003-06-04 2004-03-30 マイクロ電気機械的装置及びその封緘方法及び製造方法

Country Status (6)

Country Link
US (5) US7075160B2 (ja)
EP (2) EP3498662A1 (ja)
JP (2) JP4908202B2 (ja)
KR (2) KR101145095B1 (ja)
ES (1) ES2768223T3 (ja)
WO (1) WO2004109769A2 (ja)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10017976A1 (de) * 2000-04-11 2001-10-18 Bosch Gmbh Robert Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren
US7138694B2 (en) * 2004-03-02 2006-11-21 Analog Devices, Inc. Single crystal silicon sensor with additional layer and method of producing the same
SG118264A1 (en) * 2004-06-29 2006-01-27 Sony Corp A magnetic material and a MEMS device using the magnetic material
US7916103B2 (en) * 2004-09-27 2011-03-29 Qualcomm Mems Technologies, Inc. System and method for display device with end-of-life phenomena
DE102005002967B4 (de) * 2005-01-21 2011-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Herstellen eines Bauelementes mit einem beweglichen Abschnitt
US8025625B2 (en) * 2005-04-12 2011-09-27 Cardiomems, Inc. Sensor with electromagnetically coupled hermetic pressure reference
US7956428B2 (en) * 2005-08-16 2011-06-07 Robert Bosch Gmbh Microelectromechanical devices and fabrication methods
US20080283943A1 (en) * 2005-11-17 2008-11-20 Koninklijke Philips Electronics, N.V. Electronic Device Comprising a Mems Element
US7825567B2 (en) * 2006-01-20 2010-11-02 Panasonic Corporation Three-dimensional structure and its manufacturing method
US20070170528A1 (en) * 2006-01-20 2007-07-26 Aaron Partridge Wafer encapsulated microelectromechanical structure and method of manufacturing same
DE102006004209B3 (de) * 2006-01-30 2007-09-06 Infineon Technologies Ag Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
FR2897196B1 (fr) * 2006-02-08 2008-07-18 Commissariat Energie Atomique Procede de scellement a basse temperature d'une cavite sous vide ou sous atmosphere controlee
JP2007210083A (ja) * 2006-02-13 2007-08-23 Hitachi Ltd Mems素子及びその製造方法
JP2007216308A (ja) * 2006-02-14 2007-08-30 Seiko Epson Corp 電子装置及びその製造方法
US7824943B2 (en) * 2006-06-04 2010-11-02 Akustica, Inc. Methods for trapping charge in a microelectromechanical system and microelectromechanical system employing same
WO2007147137A2 (en) 2006-06-15 2007-12-21 Sitime Corporation Stacked die package for mems resonator system
DE102006032195A1 (de) * 2006-07-12 2008-01-24 Robert Bosch Gmbh Verfahren zur Herstellung von MEMS-Strukturen
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
DE102006049259A1 (de) * 2006-10-19 2008-04-30 Robert Bosch Gmbh Verfahren zur Herstellung eines mikromechanischen Bauelementes mit einer Dünnschicht-Verkappung
US7671515B2 (en) * 2006-11-07 2010-03-02 Robert Bosch, Gmbh Microelectromechanical devices and fabrication methods
US20080119002A1 (en) * 2006-11-17 2008-05-22 Charles Grosjean Substrate contact for a MEMS device
KR100777927B1 (ko) * 2006-12-05 2007-11-21 동부일렉트로닉스 주식회사 반도체 소자의 미세패턴 형성방법
CN101578687A (zh) * 2007-01-05 2009-11-11 明锐有限公司 用于mems结构的晶片级封装的方法和系统
US7595209B1 (en) 2007-03-09 2009-09-29 Silicon Clocks, Inc. Low stress thin film microshells
US7736929B1 (en) 2007-03-09 2010-06-15 Silicon Clocks, Inc. Thin film microshells incorporating a getter layer
US7923790B1 (en) * 2007-03-09 2011-04-12 Silicon Laboratories Inc. Planar microshells for vacuum encapsulated devices and damascene method of manufacture
US7659150B1 (en) 2007-03-09 2010-02-09 Silicon Clocks, Inc. Microshells for multi-level vacuum cavities
US20080290494A1 (en) * 2007-05-21 2008-11-27 Markus Lutz Backside release and/or encapsulation of microelectromechanical structures and method of manufacturing same
DE102007025880A1 (de) * 2007-06-01 2008-12-04 Robert Bosch Gmbh Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements mit einer Dünnschichtkappe
US7605466B2 (en) * 2007-10-15 2009-10-20 General Electric Company Sealed wafer packaging of microelectromechanical systems
TW200919593A (en) * 2007-10-18 2009-05-01 Asia Pacific Microsystems Inc Elements and modules with micro caps and wafer level packaging method thereof
TW200938479A (en) 2007-10-22 2009-09-16 Toshiba Kk Micromachine device and method of manufacturing the same
US7915696B2 (en) * 2007-10-24 2011-03-29 General Electric Company Electrical connection through a substrate to a microelectromechanical device
US8159056B1 (en) 2008-01-15 2012-04-17 Rf Micro Devices, Inc. Package for an electronic device
JP4581011B2 (ja) 2008-01-25 2010-11-17 株式会社東芝 電気部品とその製造方法
US8153501B2 (en) * 2008-03-06 2012-04-10 Toshiba America Electronic Components, Inc. Maskless selective boron-doped epitaxial growth
US8552464B2 (en) * 2008-04-12 2013-10-08 Long-Sheng Fan CMOS-compatible movable microstructure based devices
WO2009130681A2 (en) * 2008-04-23 2009-10-29 Nxp B.V. Semiconductor device and method of manufacturing a semiconductor device
US8273653B2 (en) * 2008-06-06 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Microscopic structure packaging method and device with packaged microscopic structure
US7800190B2 (en) * 2008-06-16 2010-09-21 Honeywell International Inc. Getter on die in an upper sense plate designed system
JP5374077B2 (ja) 2008-06-16 2013-12-25 ローム株式会社 Memsセンサ
WO2010003228A1 (en) * 2008-07-09 2010-01-14 The Royal Institution For The Advancement Of Learning/Mcgiii University Low temperature ceramic microelectromechanical structures
DE102008040758B4 (de) * 2008-07-28 2017-05-18 Robert Bosch Gmbh Mikromechanische Strukturen und Verfahren zur Herstellung von mikromechanischen Strukturen
DE102008040851A1 (de) 2008-07-30 2010-02-04 Robert Bosch Gmbh Verfahren zum Verkappen eines MEMS-Wafers sowie MEMS-Wafer
JP2010098518A (ja) * 2008-10-16 2010-04-30 Rohm Co Ltd Memsセンサの製造方法およびmemsセンサ
US7875482B2 (en) * 2009-03-19 2011-01-25 Robert Bosch Gmbh Substrate with multiple encapsulated pressures
US8877648B2 (en) * 2009-03-26 2014-11-04 Semprius, Inc. Methods of forming printable integrated circuit devices by selective etching to suspend the devices from a handling substrate and devices formed thereby
ES2342872B1 (es) * 2009-05-20 2011-05-30 Baolab Microsystems S.L. Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
JP2010280035A (ja) * 2009-06-04 2010-12-16 Toshiba Corp Memsデバイスとその製造方法
FR2946636B1 (fr) * 2009-06-15 2012-03-23 Commissariat Energie Atomique Procede de liberation ameliore de la structure suspendue d'un composant nems et/ou mems
US8347729B2 (en) * 2009-11-12 2013-01-08 International Business Machines Corporation Piezoresistive strain sensor based nanowire mechanical oscillator
US8458888B2 (en) 2010-06-25 2013-06-11 International Business Machines Corporation Method of manufacturing a micro-electro-mechanical system (MEMS)
WO2012066178A2 (es) * 2010-11-19 2012-05-24 Baolab Microsystems Sl Métodos y sistemas para la fabricación de dispositivos de cmos de mems en diseños de nodo inferior
US9174835B2 (en) * 2010-12-27 2015-11-03 Stmicroelectronics, Inc. Microstructure and electronic device
US20120211805A1 (en) 2011-02-22 2012-08-23 Bernhard Winkler Cavity structures for mems devices
US8232614B1 (en) * 2011-03-08 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having a conductive element through a substrate thereof and manufacturing methods of the same
DE102011006517A1 (de) * 2011-03-31 2012-10-04 Endress + Hauser Gmbh + Co. Kg Druckfest gekapselter Differenzdrucksensor
US8673756B2 (en) * 2011-04-14 2014-03-18 Robert Bosch Gmbh Out-of-plane spacer defined electrode
CN102815662A (zh) * 2011-06-08 2012-12-12 无锡华润上华半导体有限公司 一种在半导体衬底中制备腔体的方法
US8470628B2 (en) 2011-06-20 2013-06-25 International Business Machines Corporation Methods to fabricate silicide micromechanical device
US9452925B2 (en) 2011-06-27 2016-09-27 Invensense, Inc. Method of increasing MEMS enclosure pressure using outgassing material
US9718679B2 (en) 2011-06-27 2017-08-01 Invensense, Inc. Integrated heater for gettering or outgassing activation
US9540230B2 (en) 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
US20130001710A1 (en) * 2011-06-29 2013-01-03 Invensense, Inc. Process for a sealed mems device with a portion exposed to the environment
US9022644B1 (en) 2011-09-09 2015-05-05 Sitime Corporation Micromachined thermistor and temperature measurement circuitry, and method of manufacturing and operating same
US20130087903A1 (en) * 2011-10-06 2013-04-11 Schlumberger Technology Corporation Electronics Packaging For High Temperature Downhole Applications
KR20170010342A (ko) * 2012-02-15 2017-01-31 로베르트 보쉬 게엠베하 도핑된 전극을 갖는 압력 센서
DE102012206531B4 (de) 2012-04-17 2015-09-10 Infineon Technologies Ag Verfahren zur Erzeugung einer Kavität innerhalb eines Halbleitersubstrats
CN103373698B (zh) * 2012-04-26 2015-09-16 张家港丽恒光微电子科技有限公司 制作mems惯性传感器的方法及mems惯性传感器
US9738512B2 (en) 2012-06-27 2017-08-22 Invensense, Inc. CMOS-MEMS integrated device including multiple cavities at different controlled pressures and methods of manufacture
US8350346B1 (en) 2012-07-03 2013-01-08 Invensense, Inc. Integrated MEMS devices with controlled pressure environments by means of enclosed volumes
DE102012213313B4 (de) * 2012-07-30 2020-11-12 Robert Bosch Gmbh Mikromechanische Struktur
US9455353B2 (en) 2012-07-31 2016-09-27 Robert Bosch Gmbh Substrate with multiple encapsulated devices
JP6095308B2 (ja) * 2012-09-25 2017-03-15 株式会社東芝 半導体装置とその製造方法
DE102012219605B4 (de) 2012-10-26 2021-09-23 Robert Bosch Gmbh Mikromechanisches Bauelement
US20140147955A1 (en) * 2012-11-29 2014-05-29 Agency For Science, Technology And Research Method of encapsulating a micro-electromechanical (mems) device
FR2999335B1 (fr) * 2012-12-06 2016-03-11 Commissariat Energie Atomique Procede ameliore de realisation d'un composant a structure suspendue et d'un transistor co-integres sur un meme substrat.
FR3002219B1 (fr) * 2013-02-19 2015-04-10 Commissariat Energie Atomique Procede de fabrication d'une structure micromecanique et/ou nanomecanique comportant une surface poreuse
US20140264655A1 (en) * 2013-03-13 2014-09-18 Invensense, Inc. Surface roughening to reduce adhesion in an integrated mems device
DE102013204475A1 (de) 2013-03-14 2014-09-18 Robert Bosch Gmbh Herstellungsverfahren für ein mikromechanisches Bauelement und entsprechendes mikromechanisches Bauelement
US9041213B2 (en) * 2013-03-14 2015-05-26 Freescale Semiconductor Inc. Microelectromechanical system devices having through substrate vias and methods for the fabrication thereof
US9469522B2 (en) * 2013-03-15 2016-10-18 Robert Bosch Gmbh Epi-poly etch stop for out of plane spacer defined electrode
US9435952B2 (en) 2013-06-10 2016-09-06 Freescale Semiconductor, Inc. Integration of a MEMS beam with optical waveguide and deflection in two dimensions
US9091820B2 (en) 2013-06-10 2015-07-28 Freescale Semiconductor, Inc. Communication system die stack
US9810843B2 (en) 2013-06-10 2017-11-07 Nxp Usa, Inc. Optical backplane mirror
US9261556B2 (en) 2013-06-10 2016-02-16 Freescale Semiconductor, Inc. Optical wafer and die probe testing
US9094135B2 (en) 2013-06-10 2015-07-28 Freescale Semiconductor, Inc. Die stack with optical TSVs
US9766409B2 (en) 2013-06-10 2017-09-19 Nxp Usa, Inc. Optical redundancy
US10230458B2 (en) * 2013-06-10 2019-03-12 Nxp Usa, Inc. Optical die test interface with separate voltages for adjacent electrodes
US9442254B2 (en) 2013-06-10 2016-09-13 Freescale Semiconductor, Inc. Method and apparatus for beam control with optical MEMS beam waveguide
CN104280160B (zh) * 2013-07-03 2016-10-05 中芯国际集成电路制造(上海)有限公司 压力传感器及其形成方法
US9646874B1 (en) * 2013-08-05 2017-05-09 Sandia Corporation Thermally-isolated silicon-based integrated circuits and related methods
US8786130B1 (en) * 2013-08-23 2014-07-22 Inoso, Llc Method of forming an electromechanical power switch for controlling power to integrated circuit devices and related devices
WO2015030802A1 (en) * 2013-08-30 2015-03-05 Hewlett-Packard Development Company, Lp Substrate etch
US9136136B2 (en) 2013-09-19 2015-09-15 Infineon Technologies Dresden Gmbh Method and structure for creating cavities with extreme aspect ratios
US9102512B2 (en) 2013-10-04 2015-08-11 Analog Devices, Inc. Sealed MEMS devices with multiple chamber pressures
FR3011835B1 (fr) 2013-10-16 2015-12-25 Commissariat Energie Atomique Procede de realisation par voie electrochimique d'au moins une zone poreuse d'une structure micro et/ou nanoelectronique
US9764946B2 (en) 2013-10-24 2017-09-19 Analog Devices, Inc. MEMs device with outgassing shield
SG10201408532SA (en) * 2013-12-19 2015-07-30 Agency Science Tech & Res Method For Thin Film Encapsulation (TFE) Of A Microelectromechanical System (MEMS) Device And The MEMS Device Encapsulated Thereof
US9637375B2 (en) * 2014-04-15 2017-05-02 Taiwan Semiconductor Manufacturing Company Limited MEMS device having a getter structure and method of forming the same
US9481567B2 (en) * 2014-06-12 2016-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS structure, cap substrate and method of fabricating the same
JP6360205B2 (ja) * 2014-06-16 2018-07-18 エプコス アクチエンゲゼルシャフトEpcos Ag マイクロエレクトロニクスパッケージおよびマイクロエレクトロニクスパッケージを製造する方法
US9798132B2 (en) * 2014-06-17 2017-10-24 Infineon Technologies Ag Membrane structures for microelectromechanical pixel and display devices and systems, and methods for forming membrane structures and related devices
US9637371B2 (en) 2014-07-25 2017-05-02 Semiconductor Manufacturing International (Shanghai) Corporation Membrane transducer structures and methods of manufacturing same using thin-film encapsulation
US10081533B2 (en) 2014-07-31 2018-09-25 Infineon Technologies Ag Micromechanical structure and method for fabricating the same
US20160039664A1 (en) * 2014-08-06 2016-02-11 Honeywell International Inc. Monolithic integration of stress isolation feautures in a microelectromechanical system (mems) structure
US10246325B2 (en) * 2014-09-03 2019-04-02 Infineon Technologies Ag MEMS device and method for manufacturing a MEMS device
US10131535B2 (en) 2015-05-22 2018-11-20 Honeywell International Inc. Monolithic fabrication of thermally isolated microelectromechanical system (MEMS) devices
US11078075B2 (en) * 2015-12-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
CN106932138B (zh) * 2015-12-31 2019-09-27 中芯国际集成电路制造(上海)有限公司 一种mems压力传感器及其制备方法、电子装置
DE102016200494A1 (de) * 2016-01-15 2017-07-20 Robert Bosch Gmbh Verfahren zum Herstellen eines mehrschichtigen MEMS-Bauelements und entsprechendes mehrschichtiges MEMS-Bauelement
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10192850B1 (en) 2016-09-19 2019-01-29 Sitime Corporation Bonding process with inhibited oxide formation
DE102017208357A1 (de) * 2017-05-18 2018-11-22 Robert Bosch Gmbh Mikroelektromechanisches Bauelement
DE102017119568B4 (de) * 2017-08-25 2024-01-04 Infineon Technologies Ag Siliziumkarbidbauelemente und Verfahren zum Herstellen von Siliziumkarbidbauelementen
DE102018211280B4 (de) * 2018-07-09 2020-09-24 Robert Bosch Gmbh MEMS-Sensor und Verfahren zur Herstellung eines MEMS-Sensors
IT202000006001A1 (it) * 2020-03-20 2021-09-20 St Microelectronics Srl Metodo di fabbricazione di un dispositivo sensore di flusso in silicio basato sulla forza di coriolis, dispositivo sensore di flusso basato sulla forza di coriolis, e sistema per misurare una proprieta' di un fluido
CN117069054B (zh) * 2023-10-13 2024-01-23 苏州敏芯微电子技术股份有限公司 Mems芯片封装结构及其制作方法

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS644082A (en) * 1987-06-26 1989-01-09 Yokogawa Electric Corp Manufacture of oscillatory type transducer
JPH0730128A (ja) * 1993-07-08 1995-01-31 Yokogawa Electric Corp 振動式トランスデューサとその製造方法
JPH07101748B2 (ja) * 1988-04-08 1995-11-01 横河電機株式会社 振動形トランスデュサの製造方法
JP2000124469A (ja) * 1998-10-13 2000-04-28 Toyota Central Res & Dev Lab Inc 微小密閉容器及びその製造方法
JP2000138381A (ja) * 1998-11-02 2000-05-16 Toyota Central Res & Dev Lab Inc 密閉容器及びその製造方法
WO2001046066A2 (de) * 1999-12-21 2001-06-28 Robert Bosch Gmbh Sensor mit zumindest einer mikromechanischen struktur und verfahren zur herstellung
WO2001058804A2 (de) * 2000-02-09 2001-08-16 Robert Bosch Gmbh Mikromechanisches bauelement und entsprechendes herstellungsverfahren
WO2001077009A1 (de) * 2000-04-07 2001-10-18 Robert Bosch Gmbh Mikromechanisches bauelement und entsprechendes herstellungsverfahren
US20030049878A1 (en) * 2000-04-11 2003-03-13 Michael Offenberg Micromechanical component and corresponding production method
WO2003023849A1 (en) * 2001-09-13 2003-03-20 Silicon Light Machines Microelectronic mechanical system and methods
WO2004061983A1 (ja) * 2002-12-27 2004-07-22 Matsushita Electric Industrial Co., Ltd. 電子デバイスおよびその製造方法
JP2004314292A (ja) * 2003-03-20 2004-11-11 Robert Bosch Gmbh 制御された雰囲気を有する電気機械的システム及びこのシステムを製造する方法
JP2007524514A (ja) * 2003-02-25 2007-08-30 アイシー メカニクス インコーポレイテッド 空洞を形成する多層キャップを有する微細機械加工組立体
JP2007524995A (ja) * 2003-06-04 2007-08-30 ロベルト・ボッシュ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング トレンチで分離されたコンタクトを有するマイクロ電気機械システム及びその製造方法

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US645281A (en) * 1896-08-07 1900-03-13 Willis C Vajen Fireman's helmet.
US4674319A (en) 1985-03-20 1987-06-23 The Regents Of The University Of California Integrated circuit sensor
US4665610A (en) 1985-04-22 1987-05-19 Stanford University Method of making a semiconductor transducer having multiple level diaphragm structure
US4766666A (en) 1985-09-30 1988-08-30 Kabushiki Kaisha Toyota Chuo Kenkyusho Semiconductor pressure sensor and method of manufacturing the same
GB2198611B (en) 1986-12-13 1990-04-04 Spectrol Reliance Ltd Method of forming a sealed diaphragm on a substrate
JP2746326B2 (ja) 1989-01-10 1998-05-06 株式会社日立製作所 半導体光素子
US5095401A (en) 1989-01-13 1992-03-10 Kopin Corporation SOI diaphragm sensor
US4945769A (en) 1989-03-06 1990-08-07 Delco Electronics Corporation Semiconductive structure useful as a pressure sensor
US5075253A (en) 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5156903A (en) 1989-12-21 1992-10-20 Sumitomo Metal Ceramics Inc. Multilayer ceramic substrate and manufacture thereof
US5161066A (en) 1989-12-25 1992-11-03 Asahi Kogaku Kogyo Kabushiki Kaisha Engaging mechanism of roller and guide groove in optical element
US5090254A (en) 1990-04-11 1992-02-25 Wisconsin Alumni Research Foundation Polysilicon resonating beam transducers
CN1018844B (zh) 1990-06-02 1992-10-28 中国科学院兰州化学物理研究所 防锈干膜润滑剂
JPH0644008B2 (ja) 1990-08-17 1994-06-08 アナログ・ディバイセス・インコーポレーテッド モノリシック加速度計
US5417111A (en) 1990-08-17 1995-05-23 Analog Devices, Inc. Monolithic chip containing integrated circuitry and suspended microstructure
US5620931A (en) 1990-08-17 1997-04-15 Analog Devices, Inc. Methods for fabricating monolithic device containing circuitry and suspended microstructure
US5139624A (en) 1990-12-06 1992-08-18 Sri International Method for making porous semiconductor membranes
US6147756A (en) 1992-01-22 2000-11-14 Northeastern University Microspectrometer with sacrificial layer integrated with integrated circuit on the same substrate
JP3367113B2 (ja) 1992-04-27 2003-01-14 株式会社デンソー 加速度センサ
US5461916A (en) 1992-08-21 1995-10-31 Nippondenso Co., Ltd. Mechanical force sensing semiconductor device
US5491604A (en) 1992-12-11 1996-02-13 The Regents Of The University Of California Q-controlled microresonators and tunable electronic filters using such resonators
WO1994014240A1 (en) 1992-12-11 1994-06-23 The Regents Of The University Of California Microelectromechanical signal processors
US5338416A (en) 1993-02-05 1994-08-16 Massachusetts Institute Of Technology Electrochemical etching process
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
DE4317274A1 (de) 1993-05-25 1994-12-01 Bosch Gmbh Robert Verfahren zur Herstellung oberflächen-mikromechanischer Strukturen
US6199874B1 (en) 1993-05-26 2001-03-13 Cornell Research Foundation Inc. Microelectromechanical accelerometer for automotive applications
US6149190A (en) 1993-05-26 2000-11-21 Kionix, Inc. Micromechanical accelerometer for automotive applications
US5616514A (en) 1993-06-03 1997-04-01 Robert Bosch Gmbh Method of fabricating a micromechanical sensor
KR0155141B1 (ko) 1993-12-24 1998-10-15 손병기 다공질실리콘을 이용한 반도체 장치의 제조방법
US5839062A (en) 1994-03-18 1998-11-17 The Regents Of The University Of California Mixing, modulation and demodulation via electromechanical resonators
GB9408872D0 (en) * 1994-05-03 1994-06-22 Zeneca Ltd Heterocyclic compounds
DE4419844B4 (de) 1994-06-07 2009-11-19 Robert Bosch Gmbh Beschleunigungssensor
US5613611A (en) 1994-07-29 1997-03-25 Analog Devices, Inc. Carrier for integrated circuit package
US5510156A (en) 1994-08-23 1996-04-23 Analog Devices, Inc. Micromechanical structure with textured surface and method for making same
US5517123A (en) 1994-08-26 1996-05-14 Analog Devices, Inc. High sensitivity integrated micromechanical electrostatic potential sensor
DE4442033C2 (de) 1994-11-25 1997-12-18 Bosch Gmbh Robert Drehratensensor
US5640039A (en) 1994-12-01 1997-06-17 Analog Devices, Inc. Conductive plane beneath suspended microstructure
US5583290A (en) 1994-12-20 1996-12-10 Analog Devices, Inc. Micromechanical apparatus with limited actuation bandwidth
DE19503236B4 (de) 1995-02-02 2006-05-24 Robert Bosch Gmbh Sensor aus einem mehrschichtigen Substrat
FR2732467B1 (fr) 1995-02-10 1999-09-17 Bosch Gmbh Robert Capteur d'acceleration et procede de fabrication d'un tel capteur
DE19509868A1 (de) 1995-03-17 1996-09-19 Siemens Ag Mikromechanisches Halbleiterbauelement
US5504026A (en) 1995-04-14 1996-04-02 Analog Devices, Inc. Methods for planarization and encapsulation of micromechanical devices in semiconductor processes
DE19519488B4 (de) 1995-05-27 2005-03-10 Bosch Gmbh Robert Drehratensensor mit zwei Beschleunigungssensoren
US6323550B1 (en) 1995-06-06 2001-11-27 Analog Devices, Inc. Package for sealing an integrated circuit die
US5922212A (en) 1995-06-08 1999-07-13 Nippondenso Co., Ltd Semiconductor sensor having suspended thin-film structure and method for fabricating thin-film structure body
JP3361916B2 (ja) 1995-06-28 2003-01-07 シャープ株式会社 微小構造の形成方法
DE19526691A1 (de) 1995-07-21 1997-01-23 Bosch Gmbh Robert Verfahren zur Herstellung von Beschleunigungssensoren
DE19526903B4 (de) 1995-07-22 2005-03-10 Bosch Gmbh Robert Drehratensensor
DE19530007C2 (de) 1995-08-16 1998-11-26 Bosch Gmbh Robert Drehratensensor
DE19539049A1 (de) 1995-10-20 1997-04-24 Bosch Gmbh Robert Verfahren zur Herstellung eines Coriolis-Drehratensensors
JPH09115999A (ja) 1995-10-23 1997-05-02 Denso Corp 半導体集積回路装置
JP3430771B2 (ja) 1996-02-05 2003-07-28 株式会社デンソー 半導体力学量センサの製造方法
US5761957A (en) 1996-02-08 1998-06-09 Denso Corporation Semiconductor pressure sensor that suppresses non-linear temperature characteristics
US5818227A (en) 1996-02-22 1998-10-06 Analog Devices, Inc. Rotatable micromachined device for sensing magnetic fields
US5880369A (en) 1996-03-15 1999-03-09 Analog Devices, Inc. Micromachined device with enhanced dimensional control
JP3423855B2 (ja) 1996-04-26 2003-07-07 株式会社デンソー 電子部品搭載用構造体および電子部品の実装方法
DE19617666B4 (de) 1996-05-03 2006-04-20 Robert Bosch Gmbh Mikromechanischer Drehratensensor
US6250156B1 (en) * 1996-05-31 2001-06-26 The Regents Of The University Of California Dual-mass micromachined vibratory rate gyroscope
US5992233A (en) 1996-05-31 1999-11-30 The Regents Of The University Of California Micromachined Z-axis vibratory rate gyroscope
US5919364A (en) * 1996-06-24 1999-07-06 Regents Of The University Of California Microfabricated filter and shell constructed with a permeable membrane
US6291315B1 (en) 1996-07-11 2001-09-18 Denso Corporation Method for etching trench in manufacturing semiconductor devices
JPH1047971A (ja) 1996-08-05 1998-02-20 Nippon Soken Inc 角速度センサ
DE19632060B4 (de) 1996-08-09 2012-05-03 Robert Bosch Gmbh Verfahren zur Herstellung eines Drehratensensors
JP3584635B2 (ja) * 1996-10-04 2004-11-04 株式会社デンソー 半導体装置及びその製造方法
JP3374680B2 (ja) 1996-11-06 2003-02-10 株式会社デンソー 半導体装置の製造方法
US5948991A (en) 1996-12-09 1999-09-07 Denso Corporation Semiconductor physical quantity sensor device having semiconductor sensor chip integrated with semiconductor circuit chip
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
JP3045089B2 (ja) 1996-12-19 2000-05-22 株式会社村田製作所 素子のパッケージ構造およびその製造方法
DE19700734B4 (de) 1997-01-11 2006-06-01 Robert Bosch Gmbh Verfahren zur Herstellung von Sensoren sowie nicht-vereinzelter Waferstapel
JP3345878B2 (ja) 1997-02-17 2002-11-18 株式会社デンソー 電子回路装置の製造方法
US6146917A (en) 1997-03-03 2000-11-14 Ford Motor Company Fabrication method for encapsulated micromachined structures
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5969249A (en) 1997-05-07 1999-10-19 The Regents Of The University Of California Resonant accelerometer with flexural lever leverage system
US6251754B1 (en) * 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US6142358A (en) 1997-05-31 2000-11-07 The Regents Of The University Of California Wafer-to-wafer transfer of microstructures using break-away tethers
US6388279B1 (en) * 1997-06-11 2002-05-14 Denso Corporation Semiconductor substrate manufacturing method, semiconductor pressure sensor and manufacturing method thereof
JPH112526A (ja) 1997-06-13 1999-01-06 Mitsubishi Electric Corp 振動型角速度センサ
US6199430B1 (en) 1997-06-17 2001-03-13 Denso Corporation Acceleration sensor with ring-shaped movable electrode
US6048774A (en) 1997-06-26 2000-04-11 Denso Corporation Method of manufacturing dynamic amount semiconductor sensor
JP3555388B2 (ja) 1997-06-30 2004-08-18 株式会社デンソー 半導体ヨーレートセンサ
US5928207A (en) 1997-06-30 1999-07-27 The Regents Of The University Of California Microneedle with isotropically etched tip, and method of fabricating such a device
AUPP653898A0 (en) * 1998-10-16 1998-11-05 Silverbrook Research Pty Ltd Micromechanical device and method (ij46F)
US6284670B1 (en) 1997-07-23 2001-09-04 Denso Corporation Method of etching silicon wafer and silicon wafer
US6035714A (en) 1997-09-08 2000-03-14 The Regents Of The University Of Michigan Microelectromechanical capacitive accelerometer and method of making same
DE19740049A1 (de) 1997-09-12 1999-03-25 Bosch Gmbh Robert Sensorelement
US5986316A (en) 1997-11-26 1999-11-16 Denso Corporation Semiconductor type physical quantity sensor
JP3900644B2 (ja) 1998-01-16 2007-04-04 株式会社デンソー 半導体圧力センサの製造方法
DE19903380B4 (de) * 1998-02-02 2007-10-18 Denso Corp., Kariya Halbleitersensoren für eine physikalische Grösse und ihre Herstellungsverfahren
JP4003326B2 (ja) 1998-02-12 2007-11-07 株式会社デンソー 半導体力学量センサおよびその製造方法
US6065341A (en) 1998-02-18 2000-05-23 Denso Corporation Semiconductor physical quantity sensor with stopper portion
DE19808549B4 (de) 1998-02-28 2008-07-10 Robert Bosch Gmbh Mikromechanische Kammstruktur sowie Beschleunigungssensor und Antrieb mit dieser Kammstruktur
US6275034B1 (en) 1998-03-11 2001-08-14 Analog Devices Inc. Micromachined semiconductor magnetic sensor
JP3846094B2 (ja) 1998-03-17 2006-11-15 株式会社デンソー 半導体装置の製造方法
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
DE19817311B4 (de) 1998-04-18 2007-03-22 Robert Bosch Gmbh Herstellungsverfahren für mikromechanisches Bauelement
US6287885B1 (en) 1998-05-08 2001-09-11 Denso Corporation Method for manufacturing semiconductor dynamic quantity sensor
DE19820816B4 (de) 1998-05-09 2006-05-11 Robert Bosch Gmbh Bondpadstruktur und entsprechendes Herstellungsverfahren
JP3307328B2 (ja) 1998-05-11 2002-07-24 株式会社デンソー 半導体力学量センサ
US6389899B1 (en) * 1998-06-09 2002-05-21 The Board Of Trustees Of The Leland Stanford Junior University In-plane micromachined accelerometer and bridge circuit having same
US6291875B1 (en) 1998-06-24 2001-09-18 Analog Devices Imi, Inc. Microfabricated structures with electrical isolation and interconnections
US6307815B1 (en) 1998-07-23 2001-10-23 Sandia Corporation Microelectromechanical timer
JP3485027B2 (ja) 1998-07-24 2004-01-13 株式会社デンソー 温度センサおよびその製造方法
JP3309808B2 (ja) * 1998-08-04 2002-07-29 株式会社デンソー 圧力検出装置
US6163643A (en) 1998-08-12 2000-12-19 Lucent Technologies Inc. Micro-mechanical variable optical attenuator
AU5489799A (en) 1998-08-19 2000-03-14 Wisconsin Alumni Research Foundation Sealed capacitive pressure sensors
JP4075228B2 (ja) 1998-09-09 2008-04-16 株式会社デンソー 半導体装置の製造方法
US6204085B1 (en) 1998-09-15 2001-03-20 Texas Instruments Incorporated Reduced deformation of micromechanical devices through thermal stabilization
US6156652A (en) 1998-10-09 2000-12-05 The United States Of America As Represented By The Secretary Of The Air Force Post-process metallization interconnects for microelectromechanical systems
US6153839A (en) 1998-10-22 2000-11-28 Northeastern University Micromechanical switching devices
JP2000206142A (ja) 1998-11-13 2000-07-28 Denso Corp 半導体力学量センサおよびその製造方法
US6300294B1 (en) 1998-11-16 2001-10-09 Texas Instruments Incorporated Lubricant delivery for micromechanical devices
US6534340B1 (en) * 1998-11-18 2003-03-18 Analog Devices, Inc. Cover cap for semiconductor wafer devices
JP2000223446A (ja) * 1998-11-27 2000-08-11 Denso Corp 半導体装置およびその製造方法
JP3796991B2 (ja) 1998-12-10 2006-07-12 株式会社デンソー 角速度センサ
US6424074B2 (en) 1999-01-14 2002-07-23 The Regents Of The University Of Michigan Method and apparatus for upconverting and filtering an information signal utilizing a vibrating micromechanical device
US6249073B1 (en) * 1999-01-14 2001-06-19 The Regents Of The University Of Michigan Device including a micromechanical resonator having an operating frequency and method of extending same
AU3346000A (en) 1999-01-15 2000-08-01 Regents Of The University Of California, The Polycrystalline silicon germanium films for forming micro-electromechanical systems
JP4151164B2 (ja) 1999-03-19 2008-09-17 株式会社デンソー 半導体装置の製造方法
US6507044B1 (en) * 1999-03-25 2003-01-14 Advanced Micro Devices, Inc. Position-selective and material-selective silicon etching to form measurement structures for semiconductor fabrication
US6433401B1 (en) 1999-04-06 2002-08-13 Analog Devices Imi, Inc. Microfabricated structures with trench-isolation using bonded-substrates and cavities
JP4389326B2 (ja) * 1999-05-06 2009-12-24 株式会社デンソー 圧力センサ
US6449406B1 (en) 1999-05-28 2002-09-10 Omm, Inc. Micromachined optomechanical switching devices
US6230567B1 (en) 1999-08-03 2001-05-15 The Charles Stark Draper Laboratory, Inc. Low thermal strain flexure support for a micromechanical device
US6275122B1 (en) * 1999-08-17 2001-08-14 International Business Machines Corporation Encapsulated MEMS band-pass filter for integrated circuits
US6386032B1 (en) * 1999-08-26 2002-05-14 Analog Devices Imi, Inc. Micro-machined accelerometer with improved transfer characteristics
DE69938658D1 (de) * 1999-09-10 2008-06-19 St Microelectronics Srl Gegen mechanische Spannungen unempfindliche mikroelektromechanische Struktur
US6512255B2 (en) * 1999-09-17 2003-01-28 Denso Corporation Semiconductor pressure sensor device having sensor chip covered with protective member
US6315062B1 (en) 1999-09-24 2001-11-13 Vermeer Manufacturing Company Horizontal directional drilling machine employing inertial navigation control system and method
US6437551B1 (en) 1999-11-02 2002-08-20 The Regents Of The University Of California Microfabricated AC impedance sensor
US6524890B2 (en) * 1999-11-17 2003-02-25 Denso Corporation Method for manufacturing semiconductor device having element isolation structure
US6311555B1 (en) 1999-11-17 2001-11-06 American Gnc Corporation Angular rate producer with microelectromechanical system technology
US6429056B1 (en) 1999-11-22 2002-08-06 International Business Machines Corporation Dynamic threshold voltage devices with low gate to substrate resistance
US6477901B1 (en) 1999-12-21 2002-11-12 Integrated Sensing Systems, Inc. Micromachined fluidic apparatus
KR100327596B1 (ko) * 1999-12-31 2002-03-15 박종섭 Seg 공정을 이용한 반도체소자의 콘택 플러그 제조방법
KR100348177B1 (ko) 2000-01-13 2002-08-09 조동일 단결정 실리콘의 마이크로머시닝 기법에서의 깊은 트렌치절연막을 이용한 절연 방법
US6352935B1 (en) 2000-01-18 2002-03-05 Analog Devices, Inc. Method of forming a cover cap for semiconductor wafer devices
JP4593049B2 (ja) 2000-02-01 2010-12-08 アナログ デバイシーズ インコーポレイテッド 静止摩擦を低減し微細加工デバイス表面を不動態化するウェハレベル処理のための方法およびそれに使用するチップ
DE10006035A1 (de) 2000-02-10 2001-08-16 Bosch Gmbh Robert Verfahren zur Herstellung eines mikromechanischen Bauelements sowie ein nach dem Verfahren hergestelltes Bauelement
US6325886B1 (en) 2000-02-14 2001-12-04 Redwood Microsystems, Inc. Method for attaching a micromechanical device to a manifold, and fluid control system produced thereby
US6440766B1 (en) 2000-02-16 2002-08-27 Analog Devices Imi, Inc. Microfabrication using germanium-based release masks
US6443008B1 (en) 2000-02-19 2002-09-03 Robert Bosch Gmbh Decoupled multi-disk gyroscope
US6507082B2 (en) 2000-02-22 2003-01-14 Texas Instruments Incorporated Flip-chip assembly of protected micromechanical devices
US6392144B1 (en) * 2000-03-01 2002-05-21 Sandia Corporation Micromechanical die attachment surcharge
US6441481B1 (en) 2000-04-10 2002-08-27 Analog Devices, Inc. Hermetically sealed microstructure package
US6373007B1 (en) * 2000-04-19 2002-04-16 The United States Of America As Represented By The Secretary Of The Air Force Series and shunt mems RF switch
JP2001326367A (ja) * 2000-05-12 2001-11-22 Denso Corp センサおよびその製造方法
US6433411B1 (en) 2000-05-22 2002-08-13 Agere Systems Guardian Corp. Packaging micromechanical devices
US6396711B1 (en) * 2000-06-06 2002-05-28 Agere Systems Guardian Corp. Interconnecting micromechanical devices
US6509623B2 (en) * 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
JP4258105B2 (ja) 2000-06-27 2009-04-30 株式会社デンソー 半導体装置の製造方法
US6508126B2 (en) * 2000-07-21 2003-01-21 Denso Corporation Dynamic quantity sensor having movable and fixed electrodes with high rigidity
JP4250868B2 (ja) 2000-09-05 2009-04-08 株式会社デンソー 半導体圧力センサの製造方法
US6465281B1 (en) * 2000-09-08 2002-10-15 Motorola, Inc. Method of manufacturing a semiconductor wafer level package
US6521965B1 (en) * 2000-09-12 2003-02-18 Robert Bosch Gmbh Integrated pressure sensor
US6448604B1 (en) 2000-09-12 2002-09-10 Robert Bosch Gmbh Integrated adjustable capacitor
US6621137B1 (en) * 2000-10-12 2003-09-16 Intel Corporation MEMS device integrated chip package, and method of making same
US6448109B1 (en) 2000-11-15 2002-09-10 Analog Devices, Inc. Wafer level method of capping multiple MEMS elements
US6946326B2 (en) * 2000-12-05 2005-09-20 Analog Devices, Inc. Method and device for protecting micro electromechanical systems structures during dicing of a wafer
EP1217735B1 (en) 2000-12-21 2007-11-14 ETA SA Manufacture Horlogère Suisse Time base comprising an integrated micromechanical tuning fork resonator
JP3964184B2 (ja) * 2000-12-28 2007-08-22 株式会社デンソー 積層型圧電アクチュエータ
US6483957B1 (en) 2001-01-29 2002-11-19 3M Innovative Properties Company MEMS-based polarization mode dispersion compensator
US6555904B1 (en) * 2001-03-05 2003-04-29 Analog Devices, Inc. Electrically shielded glass lid for a packaged device
US6531767B2 (en) * 2001-04-09 2003-03-11 Analog Devices Inc. Critically aligned optical MEMS dies for large packaged substrate arrays and method of manufacture
US6552404B1 (en) * 2001-04-17 2003-04-22 Analog Devices, Inc. Integratable transducer structure
US6624726B2 (en) * 2001-08-31 2003-09-23 Motorola, Inc. High Q factor MEMS resonators
US6808954B2 (en) 2001-09-07 2004-10-26 Intel Corporation Vacuum-cavity MEMS resonator
US6508561B1 (en) * 2001-10-17 2003-01-21 Analog Devices, Inc. Optical mirror coatings for high-temperature diffusion barriers and mirror shaping
US6621134B1 (en) * 2002-02-07 2003-09-16 Shayne Zurn Vacuum sealed RF/microwave microresonator
US6635509B1 (en) 2002-04-12 2003-10-21 Dalsa Semiconductor Inc. Wafer-level MEMS packaging
US7335971B2 (en) * 2003-03-31 2008-02-26 Robert Bosch Gmbh Method for protecting encapsulated sensor structures using stack packaging

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS644082A (en) * 1987-06-26 1989-01-09 Yokogawa Electric Corp Manufacture of oscillatory type transducer
JPH07101748B2 (ja) * 1988-04-08 1995-11-01 横河電機株式会社 振動形トランスデュサの製造方法
JPH0730128A (ja) * 1993-07-08 1995-01-31 Yokogawa Electric Corp 振動式トランスデューサとその製造方法
JP2000124469A (ja) * 1998-10-13 2000-04-28 Toyota Central Res & Dev Lab Inc 微小密閉容器及びその製造方法
JP2000138381A (ja) * 1998-11-02 2000-05-16 Toyota Central Res & Dev Lab Inc 密閉容器及びその製造方法
WO2001046066A2 (de) * 1999-12-21 2001-06-28 Robert Bosch Gmbh Sensor mit zumindest einer mikromechanischen struktur und verfahren zur herstellung
WO2001058804A2 (de) * 2000-02-09 2001-08-16 Robert Bosch Gmbh Mikromechanisches bauelement und entsprechendes herstellungsverfahren
WO2001077009A1 (de) * 2000-04-07 2001-10-18 Robert Bosch Gmbh Mikromechanisches bauelement und entsprechendes herstellungsverfahren
US20030049878A1 (en) * 2000-04-11 2003-03-13 Michael Offenberg Micromechanical component and corresponding production method
WO2003023849A1 (en) * 2001-09-13 2003-03-20 Silicon Light Machines Microelectronic mechanical system and methods
WO2004061983A1 (ja) * 2002-12-27 2004-07-22 Matsushita Electric Industrial Co., Ltd. 電子デバイスおよびその製造方法
JP2007524514A (ja) * 2003-02-25 2007-08-30 アイシー メカニクス インコーポレイテッド 空洞を形成する多層キャップを有する微細機械加工組立体
JP2004314292A (ja) * 2003-03-20 2004-11-11 Robert Bosch Gmbh 制御された雰囲気を有する電気機械的システム及びこのシステムを製造する方法
JP2007524995A (ja) * 2003-06-04 2007-08-30 ロベルト・ボッシュ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング トレンチで分離されたコンタクトを有するマイクロ電気機械システム及びその製造方法

Also Published As

Publication number Publication date
ES2768223T3 (es) 2020-06-22
US7859067B2 (en) 2010-12-28
WO2004109769A2 (en) 2004-12-16
EP3498662A1 (en) 2019-06-19
KR101145095B1 (ko) 2012-05-11
JP2006526509A (ja) 2006-11-24
US7288824B2 (en) 2007-10-30
US20130280842A1 (en) 2013-10-24
US20110221013A1 (en) 2011-09-15
US20040248344A1 (en) 2004-12-09
EP1634328A2 (en) 2006-03-15
US20060108652A1 (en) 2006-05-25
KR20060015633A (ko) 2006-02-17
JP5281682B2 (ja) 2013-09-04
WO2004109769A3 (en) 2005-12-29
US8421167B2 (en) 2013-04-16
KR20110042392A (ko) 2011-04-26
US20080237756A1 (en) 2008-10-02
EP1634328B1 (en) 2019-12-04
EP1634328A4 (en) 2011-07-06
US8623686B2 (en) 2014-01-07
US7075160B2 (en) 2006-07-11
JP4908202B2 (ja) 2012-04-04

Similar Documents

Publication Publication Date Title
JP5281682B2 (ja) マイクロ電気機械的装置及びその封緘方法及び製造方法
JP4895805B2 (ja) トレンチで分離されたコンタクトを有するマイクロ電気機械システム及びその製造方法
US7956428B2 (en) Microelectromechanical devices and fabrication methods
US7671515B2 (en) Microelectromechanical devices and fabrication methods
JP5748701B2 (ja) Soi基板を持つマイクロ電気機械システム用アンカー及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110922

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130426

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130524

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5281682

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250