JP2011054916A - 基板処理装置及び基板処理方法 - Google Patents

基板処理装置及び基板処理方法 Download PDF

Info

Publication number
JP2011054916A
JP2011054916A JP2009206664A JP2009206664A JP2011054916A JP 2011054916 A JP2011054916 A JP 2011054916A JP 2009206664 A JP2009206664 A JP 2009206664A JP 2009206664 A JP2009206664 A JP 2009206664A JP 2011054916 A JP2011054916 A JP 2011054916A
Authority
JP
Japan
Prior art keywords
substrate
processing
processing unit
chamber
mounting table
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009206664A
Other languages
English (en)
Other versions
JP5511273B2 (ja
Inventor
Satoru Takahashi
哲 高橋
Hidehiro Nouchi
英博 野内
Masakazu Sakata
雅和 坂田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2009206664A priority Critical patent/JP5511273B2/ja
Priority to US12/585,341 priority patent/US9378991B2/en
Priority to CN2009101731412A priority patent/CN101673667B/zh
Priority to KR1020090085793A priority patent/KR101173125B1/ko
Priority to TW098130640A priority patent/TWI485798B/zh
Publication of JP2011054916A publication Critical patent/JP2011054916A/ja
Application granted granted Critical
Publication of JP5511273B2 publication Critical patent/JP5511273B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Robotics (AREA)

Abstract

【課題】高スループット化と省フットプリント化の相反する条件の両立を実現する。
【解決手段】搬送室12と、基板を処理する処理室16とを有し、前記搬送室12は、基板を当該搬送室12から前記処理室16へ搬送する第1の基板搬送部材を有し、前記処理室16は、前記搬送室12と隣接され、第1の基板載置台37を有する第1の処理部36と、前記第1の処理部36の内、前記搬送室12とは異なる側に隣接され、第2の基板載置台41を有する第2の処理部38と、前記第1の処理部36と前記第2の処理部38の間で基板を搬送する第2の基板搬送部材40と、少なくとも前記第2の基板搬送部材40を制御する制御部とを有する。
【選択図】図3

Description

本発明は、基板処理装置及び基板処理方法に関する。
基板処理装置の一例として、ロードポート、ロードロック室、搬送室、処理室の順にウエハは搬送され、処理室において処理される。
処理室はゲートバルブで遮蔽された独立した空間であり、各室では個別にウエハの処理が可能である。
通常、基板載置台上で処理を行うものにおいては、1室では1ウエハのみの処理となる。特許文献1は、未処理ウエハを処理室へ交互に搬送し、処理室それぞれから処理済ウエハ基板支持体へ戻す際に、次に処理する未処理ウエハと入れ替える技術を開示する。
特開2006−86180号公報
従来の基板処理装置は、ウエハをストックするロードロック室が2室、各室にウエハを移載させるロボットを有する搬送室が1室、ウエハを処理する処理室が2室の構成になっている。本装置構成では、スループット1時間あたり200枚を超えることは困難であった。更なるスループット向上を目指した場合、単純に搬送室外周部に処理室を追加すると、スループットの向上を図ることは可能である。しかしながら、相対的に搬送室内の搬送ロボットが大型化し、また処理室が追加されることでフットプリントが肥大することは避けられない。
本発明の目的は、高スループット化と省フットプリント化の相反する条件の両立を実現することのできる基板処理装置及び基板処理方法を提供することにある。
本発明の一態様によれば、搬送室と、基板を処理する処理室と、を有し、前記搬送室は、基板を当該搬送室から前記処理室へ搬送する第1の基板搬送部材を有し、前記処理室は、前記搬送室と隣接され、第1の基板載置台を有する第1の処理部と、前記第1の処理部の内、前記搬送室とは異なる側に隣接され、第2の基板載置台を有する第2の処理部と、前記第1の処理部と前記第2の処理部の間で基板を搬送する第2の基板搬送部材と、少なくとも前記第2の基板搬送部材を制御する制御部と、を有する基板処理装置が提供される。処理室が第1の処理部と第2の処理部を有し、同時に処理可能なので、従来に比べて処理枚数が増加し、スループットが向上する。また、4反応室保持装置として他社構成と比べて省フットプリントでの配置が可能である。
また、本発明の他の一態様によれば、少なくとも2枚の基板を、第1の処理部、第2の処理部、及び基板を載置する基板載置台を有する処理室へ供給するステップと、前記少なくとも2枚の基板をそれぞれ前記第1の処理部の第1の基板搬送機構及び前記第2の処理部の第2の基板搬送機構に載置するステップと、基板を前記基板載置台に載置するとき、前記第1の基板搬送機構と前記基板載置台に内包されたヒータとの距離及び前記第2の基板搬送機構と前記基板載置台に内包されたヒータとの距離が同じとなるよう前記第1の基板搬送機構と前記第2の基板搬送機構、もしくは前記基板載置台を相対的に制御するステップと、を有する基板処理方法が提供される。これにより、第1の処理部と第2の処理部それぞれの基板とヒータとの距離が同じとなり、加熱条件が一緒となり、基板温度が同じ割合で上昇する。
本発明によれば、高スループット化と省フットプリント化の相反する条件の両立を実現することができる。
本発明の実施形態で用いられる基板処理装置の全体構成図であり、上面からみた概念図である。 本発明の実施形態で用いられる基板処理装置の全体構成図の縦断面図である。 本発明の実施形態における基板処理装置の処理室を示す斜視図である。 本発明の実施形態における基板処理装置のウエハストッパとウエハの位置関係を説明するための図である。 本発明の実施形態における基板処理時の第2の基板搬送部材周辺を上面からみた図である。 本発明の実施形態における処理室内を上面からみた図であり、ウエハ移載のフローを示す。 本発明の実施形態における処理室内を上面からみた図であり、図6のウエハ移載のフローの続きを示す。 比較例の基板処理装置の全体構成図であり、上面からみた概念図である。 比較例の基板処理装置の処理室内を上面からみた図であり、ウエハ移載のフローを示す。 本発明の実施形態に係る基板処理装置10の配置例を示す。 本発明の第2の実施形態における基板処理装置を示す。 本発明の第3の実施形態における基板処理装置を示す。
次に、本発明の実施形態を図面に基づいて説明する。
図1は、本発明の実施形態に係る基板処理装置10の全体構成図であり、装置10上面からみた概念図である。
基板処理装置10は、例えば搬送室12を中心として、2つのロードロック室14a、14b及び2つの処理室16a、16bが配置されており、ロードロック室14a、14bの上流側にはフロントモジュールであるEFEM(Equipment Front End Module)18が配置されている。
EFEM18にはウエハ1をストックするフープ(25枚)を3台搭載することができる構造になっている。
EFEM18内には大気中にて同時に複数枚(5枚)を移載することが可能な大気ロボット(図示せず)が載置されており、2つのロードロック室14a、14bとの間のウエハ移載を可能にしている。また、本装置は、各構成を制御するコントローラ84を有する。
図2に示すように、ロードロック室14a、14bには、例えば25枚の基板を縦方向に一定間隔を隔てて収容する基板支持体(ボート)20が設けられている。基板支持体20は、例えば炭化珪素やアルミで構成しており、上部板22と下部板24とを接続する例えば3つの支柱26を有する。支柱26の長手方向内側には例えば25個の載置部28が平行に形成されている。また、基板支持体20は、ロードロック室14a、14b内において、鉛直方向に移動(上下方向に移動)するようにされているとともに、鉛直方向に延びる回転軸を軸として回転するようにされている。
搬送室12には、ロードロック室14a、14bと処理室16a、16bとの間でウエハ1を搬送する第1の基板搬送部材30が設けられている。第1の基板搬送部材30は、上フィンガー32a及び下フィンガー32bから構成されるフィンガー対32が設けられたアーム34を有する。上フィンガー32a及び下フィンガー32bは、例えば同一の形状をしており、上下方向に所定の間隔で離間され、アーム34からそれぞれ略水平に同じ方向に延びて、それぞれウエハ1を支持することができるようにされている。アーム34は、鉛直方向に延びる回転軸を軸として回転するようにされているとともに、水平方向に移動するようにされている。搬送室12と処理室16a、搬送室12と処理室16bは、図3に示されているように、それぞれゲートバルブ35を介して連通している。処理室16aと処理室16bとの間には、境界壁48を有し、この境界壁48によって、それぞれの処理室の雰囲気を独立した状態としている。
したがって、ロードロック室14a、14bにストックされた未処理ウエハは搬送室12に配置された第1の基板搬送部材30により同時に2枚ずつゲートバルブ35を介して処理室16a、16bへ移載される。処理済ウエハは処理室16a、16bから第1の基板搬送部材30により一度に2枚ずつロードロック室14a、14bに移載される(第1の基板搬送機構)。
図3において、処理室16の概要が示されている。
処理室16には、2つの基板載置台が配置されており、搬送室12側の第1の処理部36の基板載置台を第1の基板載置台37、他方の第2の処理部38の基板載置台を第2の基板載置台41とする。
第1の処理部36と第2の処理部38はおのおの独立した構造となっており、装置全体からみるとウエハ処理流れ方向と同方向一列になっている。
すなわち、第2の処理部38は、搬送室12から第1の処理部36を挟んで遠方に配置されている。
第1の処理部36と第2の処理部38では、同じプロセスによって基板処理がなされる。
第1の処理部36と第2の処理部38とは連通し、処理室16内は、300℃までの昇温が可能である。
第1の基板載置台37及び第2の基板載置台41には、ヒータ64が内挿され、加熱されている。また、第1の基板載置台37と第2の基板載置台41は、例えばアルミニウム(A5052、A5056等)で形成される。
省スペース、低コスト化の目的を達成するため、ロードロック室14a、14b、搬送室12及び処理室16a、16bを例えばアルミニウム(A5052)一部品にて形成してもよい。
処理室16内の第1の処理部36と第2の処理部38の間の内側、すなわち境界壁48側寄りには、第2の基板搬送部材40が設けられている。
第2の基板搬送部材40は、軸部43eを中心として回転するものであり、軸部43eは、境界壁48側に配置されている。
他方の処理室における第2の基板搬送部材40は、境界壁48を挟んで、一方の処理室の第2の基板搬送部材40と対照的に配置される。対照的に配置することで、それぞれの第2の基板搬送部材40を制御するための配線を、処理室16の下部であって、水平方向で装置中央、即ち境界壁48近辺に、集中して配設することが可能となる。この結果、配線スペースにおいて、部品ごとに配線を集中して設けることが可能となり、配線スペースを効率化することができる。また、境界壁48付近に配置した軸部43eを中心として回転するので、処理室16の外側を円形とすることができる。円形とすることで、装置本体11の外郭11aを斜め状とすることが可能となり、その結果、保守者が入るメンテナンススペース17をより大きく確保することができる。仮に、軸部43eを処理室16の外側に配置した場合、外郭11aを斜め状とすることはできず、保守者が入るメンテナンススペース17を大きく確保することができない。
第2の基板搬送部材40は、第1の基板搬送部材30によって搬送された2枚の未処理ウエハのうちの1枚を第2の処理部38の第2の基板載置台41に移載し、さらに第2の基板載置台41の処理済ウエハを第1の基板搬送部材30のフィンガー上へ移載する(第2の基板搬送機構)。
図4は、第1の基板搬送部材30周辺の側面図である。
第1の基板搬送部材30の上フィンガー32a及び下フィンガー32bのアーム34よりには、基板止め部材であるウエハストッパ70が下フィンガー32bから鉛直方向上方に延びた状態で設けられている。ウエハストッパ70は、例えば、基板を垂直方向に整列させる整列プレートとして使用することが可能である。
ウエハストッパ70は、第1の基板搬送部材30の外形に沿って形成されている。また、ウエハストッパ70の上端面が、図4に示すように、第1の基板搬送部材30の上フィンガー32a上のウエハ1の上面よりも上方に配置される。これにより、第2の基板搬送部材40が旋回し、行き過ぎた場合でもウエハ1は、ウエハストッパ70に止められ、ウエハ1がウエハストッパ70に乗り上げてしまうことを防止できる。また、ウエハストッパ70は、第2の基板搬送部材40と干渉しない位置に配置される。ウエハストッパ70を設けることで、ウエハ1が第1の基板搬送部材30からずれて第1の基板載置台37に載置されるのを防ぐ。また、ウエハストッパ70を第2の基板搬送部材40と干渉しない位置に配置することで、第1の基板搬送部材30及び第2の基板搬送部材40を第1の処理部36の第1の基板載置台37上に同時に存在させることができる。これにより、スループットを向上させることができる。
図5は、処理部16内の第2の基板搬送部材40が第2の処理部38側に待機している時(基板処理時)の様子を示す。
第2の基板搬送部材40は、ウエハの外径より大きな円弧部43aと、円弧部43aから切欠かれた切欠き部43bと、円弧部43aから円弧部の中心にむかって略水平に設けられたウエハを載置する爪部43cと、円弧部43aを支えるフレーム部43dが設けられたアーム47を有する。
円弧部43aとフレーム部43dは連続して形成され、アーム47から略水平に装着され、爪部43cを介してウエハ1を支持することができるようにされている。
アーム47は、鉛直方向に延びる軸部43eを回転軸として回転するようにされているとともに、鉛直方向に昇降するようにされている。
切欠き部43bは、軸部43eが回転し、第1の処理部36側に有するときに、搬送室12と処理室16との間に設けられたゲートバルブ35と向かい合う位置に配置する。
したがって、第2の基板搬送部材40は、回転軸である軸部43eが回転し、昇降する。このような動作を行うことで、第1の基板搬送部材30によって処理室16内に搬送された2枚のウエハのうち、1枚のウエハを第1の処理部36上方から搬送室12の遠方にある第2の処理部38に搬送・載置することができる。
第2の基板搬送部材40は、第1の基板載置台37及び第2の基板載置台41からの熱輻射により高温(250℃くらい)になるため、耐プラズマ性、耐高熱性である例えばアルミナセラミックス(純度99.6%以上)、石英、SiC(炭化珪素)、AlN(窒化アルミニウム)等から形成するのが好ましい。金属部品に比べ熱膨張係数の小さい例えばアルミナセラミックス(純度99.6%以上)で形成することで、熱変形によるたわみ等による搬送信頼性劣化を防止することができる。ただし、第2の基板搬送部材40の基部には位置・レベル調整のため、金属部品を使用する。
第1の基板載置台37及び第2の基板載置台41は、処理室16内において固定部材(不図示)により装置本体11に固定されている。また、第1の基板載置台37の外周には、基板保持部である3つの第1の基板保持ピン39aが鉛直方向に貫通しており、基板保持ピンが上下に昇降することで、基板を略水平に昇降させる。また、第2の基板載置台41の外周には、基板保持部である3つの第2の基板保持ピン39bが鉛直方向に貫通しており、基板保持ピンが上下に昇降することで、基板を略水平に昇降させる。したがって、ゲートバルブ35を介して第1の基板搬送部材30により搬送されたウエハが基板保持ピン39a、39bを介して基板載置台に載置されるようになっている。つまり、コントローラ84の制御により、モータが回転及び逆回転することにより、第1の基板保持ピン39a、第2の基板保持ピン39bが上下方向に移動するようにされている。
図6及び図7に処理室16内におけるウエハ移載のフローの概要を示す。
図6(a)〜(d)及び図7(e)〜(h)において、上図は処理室16の上面図である。下図は上図の断面をイメージした図で、説明用図面である。
下図では、基板保持ピン39aの一つが、第1の処理部36の内、ゲートバルブ35に近い箇所に設けられている。これは説明の便宜上のものである。実際には上図のように、第1の処理部36の内、ゲートバルブ35に近い箇所、即ち第1の基板搬送部材30が図6(c)上図のように待機する箇所には、基板保持ピン39aは設けられていない。
まず、処理室16内は、搬送室12と同圧に真空化される。尚、以下の説明において、基板処理装置10を構成する各部の動作はコントローラ84により制御する。
(ステップ1 図6(a))
ゲートバルブ35が開き、第1の基板載置台37の第1の基板保持ピン39aと第2の基板載置台41の第2の基板保持ピン39bが上昇する。第2の基板搬送部材40は第2の処理部38側に待機し、第1の基板保持ピン39a、第2の基板保持ピン39bと共に上昇する。
(ステップ2 図6(b))
第2の基板搬送部材40は、軸部43eが回転することで略水平に第1の処理部36側へ移動する。この際、第2の基板搬送部材40の切欠き部43bは、ゲートバルブ35と向かい合っている。
(ステップ3 図6(c))
第1の基板搬送部材30が上フィンガー32aと下フィンガー32bに載置された2枚のウエハを同時搬送しながら、搬送室12からゲートバルブ35を介して処理室16に移動し、第1の処理部36上方にて停止する。その際、第2の基板搬送部材40はフィンガー対32の上フィンガー32aと下フィンガー32bの間に収まる高さ位置にて待機している。ここで、ウエハストッパ70が、旋回時のウエハ高さよりも高く設けられているため、ウエハ行き過ぎの抑制になる。また、上フィンガー32aと下フィンガー32bのアームよりに設けられているため、旋回時のウエハ1との干渉は防止される。
(ステップ4 図6(d))
第1の基板搬送部材30はそのまま動作しない状態にて、第1の基板載置台37の第1の基板保持ピン39aが上昇し、下フィンガー32bに載置されたウエハを第1の基板保持ピン39a上に載置する。さらに、第2の基板搬送部材40が上昇することで、上フィンガー32aに載置されたウエハを第2の基板搬送部材40の爪部43c上に載置する。
(ステップ5 図7(e))
第1の基板搬送部材30は、搬送室12内に戻る。ここで、ウエハストッパ70を設けることにより、第1の基板搬送部材30の縮動作に際しても、ウエハ1との干渉は防止される。
(ステップ6 図7(f))
第2の基板搬送部材40は、ウエハ1を載置した状態で、軸部43eが回転することで略水平に第2の処理部38側へ移動する。
ゲートバルブ35が閉まる。
(ステップ7 図7(g))
軸部43eが下降して、第2の基板搬送部材40は、第2の基板載置台41の外周下方に移動する。
第2の基板搬送部材40はウエハ処理中も処理室16内に待機することになるため、第2の処理部38上方から供給される処理ガス(例えばOラジカル等)のガスの流れを阻害し、ウエハ面内の均一性を悪化させる恐れがある。そのため、第2の基板載置台41の外周のガス流れを阻害しない高さへと移動する。
(ステップ8 図7(h))
第1の基板載置台37の第1の基板保持ピン39a及び第2の基板載置台41の第2の基板保持ピン39bがウエハ1を略水平に保持した状態でほぼ同時に下降し、ウエハ1を第1の基板載置台37及び第2の基板載置台41に載置する。即ち、それぞれのウエハと、それらのウエハに対応した基板載置台との距離が互いに等しくなるよう、ウエハを下降させる。
第1の処理部36及び第2の処理部38それぞれのウエハへの熱影響を同じにするためである。熱影響を同じにすることにより、例えばそれぞれのウエハのアッシングレートを均一にすることができる。基板処理がCVD(Chemical Vapor Deposition)の場合、それぞれの膜厚を略同じ厚みとすることができる。
なお、まったく同じ熱影響とする必要は無く、アッシングレートや膜厚が均一にさえなれば、誤差があってもよい。各基板が載置される時間の誤差は、例えば2秒程度である。
第1の基板保持ピン39aと第2の基板保持ピン39bをほぼ同時に下降して、熱影響を同じとする代わりに、ヒータ64を個別に制御してもよい。
また、本装置では、基板保持ピン39が下がるが、第1の基板載置台37及び第2の基板載置台41が上下する構成にしてもよい。
その後、処理室16内にガスを供給し、プラズマ生成(アッシング処理)がなされ、基板処理後は、逆のシーケンスを実行し、基板を搬出する。
図8に、比較例の基板処理装置50の全体構成図であり、装置50上面からみた概念図を示す。
比較例の基板処理装置50は、ウエハをストックするロードロック室52が2室、各室にウエハを移載させるロボットを有する搬送室54が1室、ウエハを処理する処理室56が2室の構成になっていて、処理室1室では1ウエハのみの処理となる。
図9に比較例の基板処理装置50の処理室56内におけるウエハ移載のフローの概要を示す。
なお、以下の説明において、比較例の基板処理装置50を構成する各部の動作はコントローラ86により制御される。
まず、処理室56内は、搬送室54と同圧に真空化される。
(ステップ1)
ゲートバルブ62が開く。
(ステップ2)
第3の基板搬送部材60がウエハ1を搬送しながら、搬送室54からゲートバルブ62を介して処理室56内に移動し、基板載置台66上方にて停止する。ここで、第3の基板搬送部材60は、ウエハを1枚ずつ搬送可能なものである。
(ステップ3)
第3の基板搬送部材60はそのまま動作しない状態にて、基板保持ピン68が上昇し、ウエハ1は、基板保持ピン68上に載置される。
(ステップ4)
第3の基板搬送部材60は、搬送室54内に戻る。
(ステップ5)
基板保持ピン68は、ウエハ1を略水平に保持した状態で下降し、基板載置台66に載置し、ウエハ載置が完了する。
ゲートバルブ62が閉まる。
その後、処理室56内にガスを供給し、プラズマ生成(アッシング処理)がなされ、基板処理後は、逆のシーケンスを実行し、基板を搬出する。
以上のように本発明によれば、4反応室保持装置として比較例の基板処理装置50と比べて省フットプリントでの配置が可能である。また、図10に示すように、本発明の実施形態に係る基板処理装置10によれば、横方向にウエハ1を移動させる処理室16がなく、奥行きに複数の処理室16を有するため、装置10の横幅を狭くすることができ、多くの装置10を配置することが可能となる。また、本発明によれば、搬送室からウエハを搬送する第1の基板搬送部材30のほかに、第2の基板搬送部材40を有するので、第1の基板搬送部材30と第2の基板搬送部材40が別の動作を同時に実行でき、高スループット対応が可能である。また、第2の基板搬送部材40が処理室16内に配置されるので、処理室16内部にて減圧・高温を維持したままでウエハの搬送が可能であり、第2の基板搬送部材40が例えばアルミナセラミックス製の場合には、処理室16内に第2の基板搬送部材40を残したままで処理が可能である。さらに、既存の基板処理装置の構成を流用するため、変更点を少なくすることが出来る。
したがって、本発明によれば、省フットプリントレイアウトを維持したままでスループットを倍増させることができる。
図11に、本発明の第2の実施形態を示す。
第2の実施形態における基板処理装置では、上述の第1の基板載置台37と第2の基板載置台41を1枚の基板載置台65としている。処理室16の中央には、仕切68が形成され、第1の処理部36と第2の処理部38が構成されている。第1の処理部36と第2の処理部38の上方にはそれぞれガス供給管69から処理ガスが供給され、ガス排気管71から排気される。基板載置台65にはヒータ64が内挿されている。基板載置台65の中心には、基板載置台65を昇降させる昇降機構67が設けられている。ここでは、基板載置台65を上昇させることにより、第1の処理部36のウエハ1とヒータ64との距離、第2の処理部38のウエハ1とヒータ64との距離を同じにする。
本発明の第2の実施形態によれば、昇降機構67を装置本体の中心に設けることで、簡易な構成でバランスよく基板載置台65の昇降が可能となる為、各ウエハ1との距離にばらつきが生じにくくなる。すなわち、第1の処理部36及び第2の処理部38のウエハへの熱影響を同じにし、アッシングレートを均一にすることができる。
仮に2つの基板載置台を上昇させる場合に基板載置台ごとに基板載置台の昇降機構が必要となり、コストアップにつながる。また、それぞれの基板載置台ごとにヒータ64とウエハ1間の距離を調整する必要があり、メンテナンス作業が増え、メンテナンスコストも増えることとなる。また、一つのヒータを一つの基板載置台の中に埋め込んだ場合、ヒータの加熱制御部が一つで済むので、コストがかからず、また制御がシンプルとなる。
図12に、本発明の第3の実施形態について説明する。
第3の実施形態における基板処理装置では、2つの基板載置台65を有し、2つの基板載置台65にはヒータ64がそれぞれ内挿されている。処理室16の中央から、処理室16が完全に仕切68によって仕切られ、第1の処理部36と第2の処理部38が構成されている。第1の処理部36と第2の処理部38の上方にはそれぞれガス供給管69から処理ガスが供給され、ガス排気管71から排気される。
第3の実施形態では、本発明の実施形態同様、第1の処理部36のウエハ1とヒータ64との距離と、第2の処理部38のウエハ1とヒータ64との距離が同じとなるように、すなわち、それぞれの基板に対するヒータからの熱影響レベルが同じとなるように2つの基板載置台65の基板保持ピン39をそれぞれ同時に降下させる。
本発明の第3の実施形態によれば、処理室16が仕切られているため、プラズマが均一にウエハ1に晒される。
これにより、第1の処理部36と第2の処理部38とで、同じ温度でかつ同じ条件でプラズマに晒されるので、基板に対して均一にプラズマを処理することができる。
また、本発明は、プラズマで基板を処理するプラズマ処理装置に限らず、半導体製造技術、特に、被処理基板を処理室に収容してヒータによって加熱した状態で処理を施す熱処理技術に関し、例えば、半導体集積回路装置(半導体デバイス)が作り込まれる半導体ウエハに酸化処理や拡散処理、イオン打ち込み後のキャリア活性化や平坦化のためのリフローやアニール及び熱CVD反応による成膜処理などに使用される基板処理装置に利用して有効なものに適用することができる。
本発明の一態様によれば、搬送室と、基板を処理する処理室と、を有し、前記搬送室は、基板を当該搬送室から前記処理室へ搬送する第1の基板搬送部材を有し、前記処理室は、前記搬送室と隣接され、第1の基板載置台を有する第1の処理部と、前記第1の処理部の内、前記搬送室とは異なる側に隣接され、第2の基板載置台を有する第2の処理部と、前記第1の処理部と前記第2の処理部の間で基板を搬送する第2の基板搬送部材と、少なくとも前記第2の基板搬送部材を制御する制御部と、を有する基板処理装置が提供される。これにより、スループットが向上する。
好ましくは、前記処理室は、少なくとも二つ設けられ、それぞれ前記搬送室の一面に設けられている。これにより、スループット向上とコストオブオーナーシップ(CoO)の両立が可能となる。
好ましくは、前記処理室は、少なくとも二つ設けられ、それぞれの処理室の長手方向は、前記搬送室から見て同方向に配置される。これにより、スループット向上とコストオブオーナーシップ(CoO)の両立が可能となる。
好ましくは、前記第1の処理部と前記第2の処理部は、それぞれの処理室が連通し、前記第2の基板搬送部材は、軸部と、基板を載置する円弧部と、前記円弧部から切欠かれた切欠き部とを有し、
前記軸部は、鉛直方向に昇降し、回転するよう構成され、前記切欠き部は、前記搬送室と前記処理室の間に形成されたゲートバルブと向かい合うよう構成されている。これにより、連通している処理室において、一方の処理部から他方の処理部へ基板を搬送、載置することができる。また、基板処理時に第2の基板搬送部材を下げることにより、ガス排気の障害とならない。
好ましくは、前記第1の処理部は、基板を水平に昇降させる基板保持部を有する。これにより、基板に対する熱影響が同じとなる。
好ましくは、前記制御部は、前記基板保持部により前記第1の処理部に配設された第1のヒータを有する第1の基板載置台上面から基板を所望の距離で一時待機させ、前記第2の基板搬送部材により前記第2の処理部に配設された第2のヒータを有する第2の基板載置台上面から基板を前記所望の距離で待機させる。これにより、ヒータからの加熱状況が同じとなり、基板に対する熱影響が同じとなる。
好ましくは、前記制御部は、前記第1の基板載置台と前記第2の基板載置台においてそれぞれ基板を待機させた後、前記第1の基板載置台と該第1の基板載置台上の基板を、前記基板保持部によって下降させ、前記第2の基板載置台と該第2の基板載置台上の基板を前記第2の基板搬送部材によって下降させ、それぞれ下降するにあたり、前記第1の基板載置台と該第1の基板載置台上の基板、及び前記第2の基板載置台と該第2の基板載置台上の基板との距離を同じ距離となるようにする。これにより、それぞれの基板とヒータとの距離を同じとし、加熱条件が同じとなり、基板に対する熱影響が同じとなる。
好ましくは、前記第1の基板搬送部材と前記第2の基板搬送部材は、前記第1の処理部に配設された、第1のヒータを有する第1の基板載置台上面に待機されるよう構成され、前記第1の基板搬送部材は、前記第2の基板搬送部材と接触しない位置に基板止め部材を有する。これにより、第1の基板搬送部材と第2の基板搬送部材を同時に一方の処理部上で待機させ、基板を移載することができるので、ハイスループットで基板を搬送できる。
好ましくは、前記基板止め部材は、上端が前記第2の基板搬送部材に載置される基板よりも上方に配置される。これにより、載置される際に、基板が基板載置台から飛び出すのを防止され、基板の破損を防止できる。
また、本発明の他の一態様によれば、基板をそれぞれ処理する第1の処理部及び第2の処理部を有する処理室と、前記処理室に内包され、基板を加熱するヒータを有する少なくとも一つの基板載置台と、前記第1の処理部に設けられた第1の基板搬送機構と、前記第2の処理部に設けられた第2の基板搬送機構と、基板を前記基板載置台に載置するとき、前記第1の基板搬送機構と前記ヒータとの距離及び前記第2の基板搬送機構と前記ヒータとの距離が同じとなるよう前記第1の基板搬送機構と前記第2の基板搬送機構、もしくは前記基板載置台を相対的に制御する制御部と、を有する基板処理装置が提供される。これにより、それぞれの基板とヒータとの距離を同じとし、加熱条件が同じとなり、基板に対する熱影響が同じとなる。
また、本発明のさらに他の一態様によれば、少なくとも2枚の基板を、第1の処理部、第2の処理部、及び基板を載置する基板載置台を有する処理室へ供給するステップと、前記少なくとも2枚の基板をそれぞれ前記第1の処理部の第1の基板搬送機構及び前記第2の処理部の第2の基板搬送機構に載置するステップと、基板を前記基板載置台に載置するとき、前記第1の基板搬送機構と前記基板載置台に内包されたヒータとの距離及び前記第2の基板搬送機構と前記基板載置台に内包されたヒータとの距離が同じとなるよう前記第1の基板搬送機構と前記第2の基板搬送機構、もしくは前記基板載置台を相対的に制御するステップと、を有する基板処理方法が提供される。これにより、それぞれの基板とヒータとの距離を同じとし、加熱条件が同じとなり、基板に対する熱影響が同じとなる。
1 ウエハ
10 基板処理装置
12 搬送室
14 ロードロック室
16 処理室
30 第1の基板搬送部材
35 ゲートバルブ
36 第1の処理部
38 第2の処理部
39 基板保持ピン
40 第2の基板搬送部材
64 ヒータ
70 ウエハストッパ

Claims (5)

  1. 搬送室と、
    基板を処理する処理室と、を有し、
    前記搬送室は、基板を当該搬送室から前記処理室へ搬送する第1の基板搬送部材を有し、
    前記処理室は、前記搬送室と隣接され、第1の基板載置台を有する第1の処理部と、前記第1の処理部の内、前記搬送室とは異なる側に隣接され、第2の基板載置台を有する第2の処理部と、前記第1の処理部と前記第2の処理部の間で基板を搬送する第2の基板搬送部材と、少なくとも前記第2の基板搬送部材を制御する制御部と、
    を有する基板処理装置。
  2. 前記処理室は、少なくとも二つ設けられ、それぞれ前記搬送室の一面に設けられている請求項1記載の基板処理装置。
  3. 前記第1の処理部と前記第2の処理部は、それぞれの処理室が連通し、
    前記第2の基板搬送部材は、軸部と、基板を載置する円弧部と、前記円弧部から切欠かれた切欠き部とを有し、
    前記軸部は、鉛直方向に昇降し、回転するよう構成され、
    前記切欠き部は、前記搬送室と前記処理室の間に形成されたゲートバルブと向かい合う
    よう構成されている請求項1又は2記載の基板処理装置。
  4. 前記第1の処理部は、基板を水平に昇降させる基板保持部を有する請求項1乃至3いずれか記載の基板処理装置。
  5. 少なくとも2枚の基板を、第1の処理部、第2の処理部、及び基板を載置する基板載置台を有する処理室へ供給するステップと、
    前記少なくとも2枚の基板をそれぞれ前記第1の処理部の第1の基板搬送機構及び前記第2の処理部の第2の基板搬送機構に載置するステップと、
    基板を前記基板載置台に載置するとき、前記第1の基板搬送機構と前記基板載置台に内包されたヒータとの距離及び前記第2の基板搬送機構と前記基板載置台に内包されたヒータとの距離が同じとなるよう前記第1の基板搬送機構と前記第2の基板搬送機構、もしくは前記基板載置台を相対的に制御するステップと、
    を有する基板処理方法。
JP2009206664A 2008-09-12 2009-09-08 基板処理装置及び基板処理方法 Active JP5511273B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009206664A JP5511273B2 (ja) 2008-09-12 2009-09-08 基板処理装置及び基板処理方法
US12/585,341 US9378991B2 (en) 2008-09-12 2009-09-11 Substrate processing apparatus and substrate processing method
CN2009101731412A CN101673667B (zh) 2008-09-12 2009-09-11 基板处理装置和基板处理方法
KR1020090085793A KR101173125B1 (ko) 2008-09-12 2009-09-11 기판 처리 장치 및 기판 처리 방법
TW098130640A TWI485798B (zh) 2008-09-12 2009-09-11 基板處理裝置

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2008234597 2008-09-12
JP2008234597 2008-09-12
JP2009180426 2009-08-03
JP2009180426 2009-08-03
JP2009206664A JP5511273B2 (ja) 2008-09-12 2009-09-08 基板処理装置及び基板処理方法

Publications (2)

Publication Number Publication Date
JP2011054916A true JP2011054916A (ja) 2011-03-17
JP5511273B2 JP5511273B2 (ja) 2014-06-04

Family

ID=42007475

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009206664A Active JP5511273B2 (ja) 2008-09-12 2009-09-08 基板処理装置及び基板処理方法

Country Status (5)

Country Link
US (1) US9378991B2 (ja)
JP (1) JP5511273B2 (ja)
KR (1) KR101173125B1 (ja)
CN (1) CN101673667B (ja)
TW (1) TWI485798B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013527609A (ja) * 2010-04-30 2013-06-27 アプライド マテリアルズ インコーポレイテッド 縦型インラインcvdシステム
JP2019068057A (ja) * 2017-09-29 2019-04-25 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法

Families Citing this family (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014150109A (ja) * 2013-01-31 2014-08-21 Disco Abrasive Syst Ltd 減圧処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016052631A1 (ja) * 2014-09-30 2016-04-07 株式会社カネカ 試料保持装置、太陽電池の製造方法及び太陽電池モジュールの製造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
GB2562503A (en) * 2017-05-16 2018-11-21 Asm Assembly Systems Singapore Pte Ltd Workpiece transfer and printing
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102116344B1 (ko) * 2017-09-29 2020-05-28 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111354657B (zh) * 2018-12-24 2023-09-26 拓荆科技股份有限公司 半导体多站处理腔体
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04348051A (ja) * 1991-01-30 1992-12-03 Toshiba Corp ウェハ加熱処理装置
JPH062677U (ja) * 1992-06-04 1994-01-14 東京応化工業株式会社 ホットプレート用リフトピン
JPH10107121A (ja) * 1996-09-26 1998-04-24 Kokusai Electric Co Ltd 基板処理装置、基板搬送機および基板搬送装置
JPH10308348A (ja) * 1997-05-07 1998-11-17 Tokyo Electron Ltd 基板処理装置
JP2003324065A (ja) * 2000-09-13 2003-11-14 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2006086180A (ja) * 2004-09-14 2006-03-30 Hitachi Kokusai Electric Inc 基板の処理方法
JP2006523379A (ja) * 2003-03-17 2006-10-12 東京エレクトロン株式会社 基板を処理する処理システムおよび方法
JP2006303104A (ja) * 2005-04-19 2006-11-02 Tokyo Electron Ltd 加熱装置、塗布、現像装置及び加熱方法
WO2007126289A1 (en) * 2006-05-03 2007-11-08 New Power Plasma Co., Ltd. Substrate transfer equipment and high speed substrate processing system using the same
JP2008513980A (ja) * 2004-09-13 2008-05-01 ジーナス インコーポレーテッド マルチ−シングルウェハ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11111820A (ja) 1997-10-03 1999-04-23 Kokusai Electric Co Ltd 基板処理装置
JP3664897B2 (ja) 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
US20020096114A1 (en) * 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100781083B1 (ko) 2006-03-31 2007-11-30 주식회사 뉴파워 프라즈마 기판 반송 장치 및 그것을 사용한 기판 처리 설비
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04348051A (ja) * 1991-01-30 1992-12-03 Toshiba Corp ウェハ加熱処理装置
JPH062677U (ja) * 1992-06-04 1994-01-14 東京応化工業株式会社 ホットプレート用リフトピン
JPH10107121A (ja) * 1996-09-26 1998-04-24 Kokusai Electric Co Ltd 基板処理装置、基板搬送機および基板搬送装置
JPH10308348A (ja) * 1997-05-07 1998-11-17 Tokyo Electron Ltd 基板処理装置
JP2003324065A (ja) * 2000-09-13 2003-11-14 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2006523379A (ja) * 2003-03-17 2006-10-12 東京エレクトロン株式会社 基板を処理する処理システムおよび方法
JP2008513980A (ja) * 2004-09-13 2008-05-01 ジーナス インコーポレーテッド マルチ−シングルウェハ処理装置
JP2006086180A (ja) * 2004-09-14 2006-03-30 Hitachi Kokusai Electric Inc 基板の処理方法
JP2006303104A (ja) * 2005-04-19 2006-11-02 Tokyo Electron Ltd 加熱装置、塗布、現像装置及び加熱方法
WO2007126289A1 (en) * 2006-05-03 2007-11-08 New Power Plasma Co., Ltd. Substrate transfer equipment and high speed substrate processing system using the same
JP2010507221A (ja) * 2006-05-03 2010-03-04 ニュー パワー プラズマ カンパニー,リミティッド 基板搬送装置及びこれを用いた高速基板処理システム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013527609A (ja) * 2010-04-30 2013-06-27 アプライド マテリアルズ インコーポレイテッド 縦型インラインcvdシステム
JP2019068057A (ja) * 2017-09-29 2019-04-25 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
CN101673667B (zh) 2011-11-02
TW201023293A (en) 2010-06-16
US20100068414A1 (en) 2010-03-18
TWI485798B (zh) 2015-05-21
CN101673667A (zh) 2010-03-17
JP5511273B2 (ja) 2014-06-04
KR101173125B1 (ko) 2012-08-14
US9378991B2 (en) 2016-06-28
KR20100031483A (ko) 2010-03-22

Similar Documents

Publication Publication Date Title
JP5511273B2 (ja) 基板処理装置及び基板処理方法
JP5548430B2 (ja) 基板処理装置及び半導体装置の製造方法
JP5878813B2 (ja) バッチ式処理装置
KR101528138B1 (ko) 기판 처리 장치, 기판 지지구 및 반도체 장치의 제조 방법
JP4703749B2 (ja) 基板処理装置及び基板処理方法
TW201306163A (zh) 基板保持具及縱型熱處理裝置與縱型熱處理裝置之運轉方法
KR101932777B1 (ko) 기판 처리 장치 및 기판 처리 방법
TW200526496A (en) Substrate holding tool and substrate treating device for treating semiconductor
JP2010141000A (ja) 基板処理装置
JP5730322B2 (ja) 蒸着装置及び蒸着方法
JP2011023505A (ja) 基板処理装置
KR102041318B1 (ko) 기판 처리 방법 및 장치
JP2006332558A (ja) 基板の処理システム
JP2011060910A (ja) 基板処理装置
JP2006237256A (ja) 基板搬送ハンド
JP4410152B2 (ja) 基板の処理システム
JP5542327B2 (ja) 基板処理装置及び半導体製造方法
JP4800226B2 (ja) 熱処理装置
JP2006339227A (ja) 基板の処理システム及び基板の処理方法
JP2008084902A (ja) 基板処理装置
JP2012054473A (ja) 基板処理装置
JP2021039972A (ja) 基板搬送装置、熱処理装置、基板処理システム、基板搬送装置の制御方法および熱処理装置の制御方法
JP2010283270A (ja) 熱処理装置
JP2011181744A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120830

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131030

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140226

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140325

R150 Certificate of patent or registration of utility model

Ref document number: 5511273

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250