JP2010157741A - スカロップ状側壁を有するシリコン貫通ビア - Google Patents

スカロップ状側壁を有するシリコン貫通ビア Download PDF

Info

Publication number
JP2010157741A
JP2010157741A JP2010000347A JP2010000347A JP2010157741A JP 2010157741 A JP2010157741 A JP 2010157741A JP 2010000347 A JP2010000347 A JP 2010000347A JP 2010000347 A JP2010000347 A JP 2010000347A JP 2010157741 A JP2010157741 A JP 2010157741A
Authority
JP
Japan
Prior art keywords
substrate
tsv
forming
semiconductor device
scalloped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010000347A
Other languages
English (en)
Inventor
Chen-Cheng Kuo
正錚 郭
Shika Chin
志華 陳
Ming-Fa Chen
明發 陳
Chen-Shien Chen
承先 陳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2010157741A publication Critical patent/JP2010157741A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 スカロップ状側壁を有するシリコン貫通ビアを提供する。
【解決手段】 基板、前記基板を覆う、1つ以上の誘電体層、及び前記基板を穿通して延伸し、スカロップ状の表面の側壁を有し、前記側壁に沿ったスカロップは約0.01μmより大きい深さを有するシリコン貫通ビア(TSV)を含む半導体デバイス。
【選択図】 図8

Description

本発明は、半導体デバイスに関し、特に、シリコン貫通ビアに関するものである。
集積回路(IC)の発明以来、半導体産業は、各種電子要素(例えば、トランジスタ、ダイオード、レジスタ、コンデンサなど)の集積密度の絶え間ない改善により、持続的な急成長を遂げている。この集積密度の改善は主に、最小形状の繰り返しの減少によるものである。
これらの集積化の改善は、集積素子に占有された体積は、基本的に半導体ウエハの表面上に位置する点で基本的に本来2次元(2D)のものである。リソグラフィーの劇的な改善は、2D集積回路構造でかなりの改善となったが、2次元で達成することができる密度には物理的限界がある。また、より多くのデバイスが1つのチップに入る時、より複雑な設計が必要になる。
回路密度を更に増加させる試みで、3次元(3D)ICが研究されている。3次元ICの典型的な製造プロセスでは、2つのダイが互いに接合され、電気的接続が基板上の各ダイとコンタクト(接触)パッド間に形成される。例えば、1つの試みは、互いの上部で2つのダイを接合することが含まれる。続いて、積層されたダイがキャリア基板に接合され、ワイヤーボンドが各ダイ上のコンタクトパッドとキャリア基板上のコンタクトパッドに電気的接続される。しかし、この試みは、ワイヤーボンディングのために、ダイよりも大きいキャリア基板を必要とする。
より最近の試みでは、シリコン貫通ビア(TSVs)に集中している。一般的に、TSVは、基板を貫通する垂直ビアをエッチングし、銅などの導電材料でビアを充填することで形成される。TSVは、半導体基板の背面に基板またはもう1つのダイの反対側の面の半導体回路への電気的コンタクトを提供するのに用いることができる。この方法では、より小さいパッケージサイズを維持しつつ積層することができる。
しかし、TSVの導電材料は、TSVの側壁から剥離する傾向を示すか、または高―低温度サイクルまたは熱ショック試験中にスライドする傾向を示す可能性がある。これにより、TSVの信頼性は低下している。また、ビアに導電材料を充填するには、ビアの垂直側壁にバリア層及び/或いはシード層を形成する必要がある。しかし、側壁の垂直特性により、良好な接着特性を有するバリア層及び/或いはシード層を形成することは困難であり、その結果、TSVを充填することは困難な可能性がある。
よって、TSVに対して、これらの問題を減少、または防ぐ必要がある。
本発明はスカロップ状(scalloped)側壁を有するシリコン貫通ビアを提供する。
本発明の実施例によれば、半導体デバイスが提供される。半導体デバイスは、基板を覆う(overlying)、1つ以上の誘電体層を有する基板を含む。TSVは、基板を穿通して延伸し、基板の側壁は、スカロップ状の表面を有し、スカロップは、約0.01μmより大きい深さを有する。
本発明のもう1つの実施例によれば、半導体デバイスを形成する方法が提供される。前記方法は、基板を提供し、基板上に電子回路構成を形成するステップを含む。1つ以上の誘電体層は、基板上に形成され、1つ以上の金属線は、1つ以上の誘電体層に形成される。スカロップ状側壁を有するTSVは、基板の第1面から基板の第2面に延伸して形成される。TSVは、等方性エッチングを繰り返し実施して、凹所(recess)を形成し、凹所の側壁に沿って保護ライナを形成することで、少なくとも部分的に形成され、スカロップは、約0.01μmより大きい深さを有する。
本発明のもう1つの実施例によれば、半導体デバイスが提供される。半導体デバイスは、基板を覆う、1つ以上の誘電体層を有する基板を含む。TSVは、基板を穿通して延伸し、TSVが基板の第1面から基板の第2面に延伸するにつれて、各スカロップ状領域のTSVの幅が前のスカロップ状領域のTSVの幅より小さくなるように、TSVは、複数のスカロップ状領域を有する。
スカロップ状側壁を有するシリコン貫通ビアを提供する本発明の実施例によれば、従来の問題は、減少、解決、または回避され、技術的効果が達成される。
スカロップ状側壁を有するシリコン貫通ビアを形成する中間段階を示している。 スカロップ状側壁を有するシリコン貫通ビアを形成する中間段階を示している。 スカロップ状側壁を有するシリコン貫通ビアを形成する中間段階を示している。 スカロップ状側壁を有するシリコン貫通ビアを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。 本発明の実施例によるシリコン貫通ビアを有する半導体デバイスを形成する中間段階を示している。
本発明についての目的、特徴、長所が一層明確に理解されるよう、以下に実施形態を例示し、図面を参照にしながら、詳細に説明する。
[実施例]
図1〜図4は、本発明の実施例によるシリコン貫通ビア(TSV)を形成する各中間ステップを示している。まず、図1を参照して、その上を覆っているパターン化マスク104を有する一部の基板が表されている。基板102は、半導体基板、半導体デバイス(例えば、トランジスタ、コンデンサ、レジスタなど)を有する半導体基板、半導体デバイスと1つ以上の覆っている誘電体層及び/或いは金属化層など有する半導体基板のみを含むことができる。図1〜図4は、TSVを形成する方法を示すもので、TSVが形成される位置または層を限定するものではない。
パターン化マスク104は、TSVのパターン(実施例にしめされた1つ)を定め、パターン化されたフォトレジスト層を含むことができる。もう1つの実施例では、パターン化マスク104は、1つ以上の誘電体層のハードマスクを含むことができる。例えば、ハードマスクは、例えば熱酸化、化学蒸着(CVD)などによって形成された二酸化ケイ素層または窒化ケイ素層であることができる。また、ハードマスクは、例えば酸窒化ケイ素などの他の誘電材料から形成することができる。例えば、二酸化ケイ素層と窒化ケイ素層などの多層ハードマスクも用いられることができる。また、他の材料、例えば金属、金属窒化物、金属酸化物なども用いられることができる。例えば、ハードマスクは、タングステンから形成されることができる。
図1に示されるように、続いてパターン化マスク104は、当分野で既知のフォトリソグラフィー技術を用いてパターン化される。通常、フォトリソグラフィー技術は、フォトレジスト材料を堆積し、パターンに応じてフォトレジスト材料を露光するステップを含む。その後、フォトレジスト材料は、フォトレジスト材料の一部を取り除くように現像される。残りのフォトレジスト材料は、後に続くプロセスのステップ、例えばエッチングの間、下敷き材料を保護する。この場合、フォトレジスト材料は、パターン化マスク104を形成するように用いられ、第1凹所106(これは下記に説明されるTSVとなる)を画定する。
パターン化マスク104が形成されると、保護ライナのエッチングと堆積の交互プロセスが繰り返される。プロセスは、例えばボッシュプロセスなどの等方性ドライエッチングプロセスが好ましい。等方性ドライエッチングプロセス(異方性のエッチングプロセスと対照的に)を用いたことで、横方向と縦方向に延伸した凹所が形成されるため、アンダーカット領域を形成する。通常、ボッシュプロセスは、望みの凹所の深さに達するまで、基板102をエッチングする第1ガスを導入し、望みの深さに達した時に、第1ガスの導入が止められて、第2ガスが導入され、側壁の表面に沿って保護ライナを形成するステップを含む。第1ガスが再び導入された時、第1ガスは凹所の底部に沿って保護ライナを取り除き(側壁に沿って保護ライナを残す)、凹所の底部に沿って基板をエッチングし続ける。第1ガスと第2ガスを導入するプロセスは、望みの深さが得られるまで繰り返される。ボッシュプロセスは、垂直側壁の形成に用いられている。本発明の実施例では、ボッシュプロセスの変形例が用いられてスカロップ状側壁を有するシリコン貫通ビアを形成する。
例えば、約50sccm〜約250sccmの流速、約10mTorr〜約100mTorrの圧力と、約100ワット〜約3500ワットの電力と、約25℃〜約100℃の温度で、約1秒〜約7秒の時間周期、例えばSFなどのエッチングガスが導入されて、図1に示された凹所を形成する。このプロセスは、横方向と縦方向にエッチングする等方性エッチングとなり、図1に示されたアンダーカット領域を形成する。
その後、図2に示されるように、例えば高分子の保護ライナ208が約100sccm〜約300sccmの流速、約10mTorr〜約50mTorrの圧力と、約1000ワット〜約3500ワットの電力と、約25℃〜約100℃の温度で、約1秒〜約10秒の時間周期、Cのガスを導入することによって形成されることができる。
続いて、図3では、エッチングガスが図1を参照して上記に論じたように、類似の処理パラメータを用いて再導入される。この場合、エッチングガスは、底部表面に沿って保護ライナ208を取り除き、もう1つの等方性エッチングプロセスを行う。第1エッチングプロセスの場合のように、等方性エッチングプロセスは、第2凹所302を横方向と縦方向に延伸させるため、もう1つのアンダーカット領域を形成する。よって、第1凹所106と第2凹所302の組み合わせは、不均一なまたはスカロップ状表面を形成する。スカロップの特徴は、約0.01μm〜約5μm間の幅wを有することが好ましい。このサイズのスカロップは、より大きな摩擦力の生成と金属充填後のTSVの空隙の減少または消去ということが判明している。
図4に示されるように、上述のプロセスは、望みの深さの開口を形成するために、何度も繰り返すことができる。開口を望みの深さに形成した後、保護ライナ208(図3を参照)は取り除かれ、開口は、導電材料で充填されてTSV410を形成することができる。好ましくは、バリア層412は、開口の側壁に沿って堆積されて拡散バリアを形成し、例えば銅の導電材料を基板102及び/或いは1つ以上の誘電体層に拡散するのを防ぐ。バリア層412は、例えば、窒素含有層、炭素含有層、水素含有層、ケイ素含有層、金属または不純物をドープした(例えば、ホウ素)金属含有層、例えば、タンタル、窒化タンタル、チタニウム、窒化チタン、チタンジルコニウム(titanium zirconium)、窒化ジルコニウムチタン(titanium zirconium nitride)、タングステン、窒化タングステン、コバルトホウ素、コバルトタングステン(cobalt tungsten)、合金、その他の組み合わせなどの誘電体及び/或いは導電バリア層を含むことができる。バリア層412は、例えば、物理蒸着(PVD)、原子層堆積(ALD)、スピン堆積(spin−on deposition)、または他の適当な方法によって形成されることができる。バリア層412は、約50Å〜約50,000Åの間の厚さを有することができる。
バリア層412が形成された後、導電材料414がTSV410を形成するように用いられる。導電材料は、例えば銅、タングステン、アルミニウム、銀、その組み合わせなどであることができる。ある実施例では、シード層(図示せず)がバリア層412の上に形成され、電着プロセスが開口を充填するのに用いられるが他の適当な方法、例えば無電解析出、めっき、またはCVDも用いることができる。プロセスは、開口を過剰充填し、例えば、化学機械研磨(CMP)、エッチング、その組み合わせなどのプロセスを用いてTSV開口の外側に位置された余分な導電材料を取り除くプロセスを含むことができる。
滑らかな側壁を有する垂直、またはほぼ垂直のTSVがシード層の形成及び/或いはTSVの充填に最適でない表面を提供するということが判明している。これらの欠点を克服し、その上にシード層が形成されることができる、より良い表面を提供するために本発明の実施例は、上述のようなスカロップ状の表面を有する側壁を用いる。スカロップ状の側壁は、摩擦力と粘着力を増すことで、その上にシード(または他の)層が形成されることができる、より良い表面を提供するため、接続の信頼性を上げるということが判明している。
その後、特定のアプリケーションに適用するバックエンド(back−end−of−line; BEOL)プロセス技術が実行されることができる。例えば、キャリア基板が取り付けられる、及び/或いは取り外されることができ、ウエハの背面は、薄膜化されてTSV410を露出し、分割(singulation)プロセスは、個別のダイに分割するなどのように実行されることができる。また注意するのは、本発明の実施例は、例えばダイとダイ(die−to−die)結合配置(bonding configuration)、ダイとウエハ(die−to−wafer)結合配置、またはウエハとウエハ(wafer−to−wafer)結合配置などの多くの異なる状況に用いられることができる。
図5〜図8は、本発明の実施例によれば、例えば、図1〜図4を参照して上記に論じたシリコン貫通ビアのシリコン貫通ビアを形成する各中間ステップを示している。まず図5を参照して、ウエハ500の一部は、その上に形成された電子回路構成512を有する半導体基板510を含む。半導体基板510は、例えばドープされたまたはドープされていないバルクシリコン、または絶縁体上半導体(SOI)基板の能動層を含むことができる。通常、SOI基板は、絶縁層上に形成されたシリコンなどの半導体材料の層を含む。絶縁層は、例えば埋め込み酸化膜(BOX)またはシリコン酸化膜であることができる。絶縁層は、通常シリコンまたはガラス基板の基板に提供される。他の基板、例えば多層または傾斜基板も用いることができる。
半導体基板510に形成される電子回路構成512は、特定のアプリケーションに適合するどんなタイプの回路であることもできる。ある実施例では、回路は、1つ以上の誘電体層を有する基板上に形成された電子デバイスを含み、例えば1つ以上の誘電体層514が電子デバイスの上を覆う。金属層(図5に示されていない)は、誘電体層の間に形成され、電気信号を電子デバイス間に伝送することができる。電子デバイスは、1つ以上の誘電体層514に形成することもできる。1つ以上の誘電体層514は、1つ以上の層間絶縁(ILD)層及び/或いは金属間誘電体(IMD)層を含むことができる。
例えば、電子回路構成512は、例えば、トランジスタ、コンデンサ、レジスタ、ダイオード、フォトダイオード、ヒューズなどの相互に連結している各種のN型金属酸化物半導体(NMOS)及び/或いはP型金属酸化物半導体(PMOS)を含むことにより、1つ以上の機能を実現することができる。その機能は、メモリ構造、処理構造、センサ、増幅器、配電、入力/出力回路構成などとして含むことができる。当業者にはわかるように、上述の例は、本発明の応用を更に説明するために例示を目的として提供されたのにすぎず、いかなる方法によっても本発明を限定するものではない。他の回路は、特定の応用に適合するように用いることができる。ビア、コンタクト及び/或いは金属線(図示せず)は、電子回路構成512に交互接続するように用いることができる。
図6は、本発明の実施例による開口610の形成を示している。開口610は、図1〜図4を参照して上記に論じた技術を用いることで形成することができる。即ち、フォトリソグラフィー技術を用い、凹所に等方性エッチングを繰り返し実行して保護層(例えば高分子)を堆積してスカロップ状側壁を有する開口610を形成する。
しかし、図6に示された実施例では、開口610は、内側に傾斜した側壁を有することでV型の開口を形成する。開口610の傾斜は、1つ以上の誘電体層514と半導体基板510の表面の法線に対して、約0度〜約30度の角度(図6の角度θによって表されている)を有することが好ましいが、角度θは、約0.5度より大きいことがより好ましい。傾斜した側壁は、エッチングと高分子堆積の時間間隔を変えることで形成することができる。例えば、エッチングの時間間隔を約5%〜40%に徐々に減少し、高分子堆積の時間間隔を約5%〜40%に増加する。
上述のように、スカロップ状側壁は、その上にシード層が形成されることができるより良い表面を提供する。傾斜した側壁は、側壁に沿ったシード(または他の)層を形成する能力を更に強めるということも判明している。よって、上述のように、開口610は、スカロップ状で且つ傾斜した側壁に形成され、後に続くプロセスステップでシード層の形成を更に助ける。
図7により続いて、本発明の実施例によれば、導電材料で開口610(図6を参照)を充填してTSV710を形成するプロセスを示している。バリア層712は、開口610を充填する前に、開口610の側壁に沿って堆積されて拡散バリアを形成し、例えば銅の導電材料を半導体基板510、または1つ以上の誘電体層514に拡散するのを防ぐ。バリア層712は、例えば、窒素含有層、炭素含有層、水素含有層、ケイ素含有層、金属または不純物をドープした(例えば、ホウ素)金属含有層、例えば、タンタル、窒化タンタル、チタニウム、窒化チタン、チタンジルコニウム、窒化ジルコニウムチタン、タングステン、窒化タングステン、コバルトホウ素、コバルトタングステン、合金、その組み合わせなどの誘電体または導電バリア層を含むことができる。バリア層712は、例えば、物理蒸着(PVD)、原子層堆積(ALD)、スピン堆積(spin−on deposition)、または他の適当な方法によって形成されることができる。バリア層712は、約50Å〜約50,000Åの間の厚さを有することができる。
TSV710を形成するように用いられる導電材料は、銅を含むのが好ましいが、他の導電材料、例えばタングステン、アルミニウム、銀、その組み合わせも選択的に用いられることができる。シード層(図示せず)がバリア層712の上に形成され、電着プロセスが開口610を充填するのに用いられるが他の適当な方法、例えば無電解析出、めっき、またはCVDも用いられることができる。プロセスは、開口610を過剰充填し、例えば、化学機械研磨(CMP)、エッチング、その組み合わせなどのプロセスを用いてTSV開口の外側に位置された余分な導電材料を取り除くプロセスを含むことができる。
図8は、本発明の実施例によればTSV710の上に付加層を形成しているのを示している。例示目的のために、TSV710に電気コンタクトを提供する金属層810が示されているが、多層金属層と金属間誘電体層も用いることができることは理解すべきである。
また、図8は、半導体基板510の背面上にTSV710を露出しているのが示されている。好ましい実施例では、TSV710は、平坦化とエッチングステップの組み合わせを用いて露出されている。まず、研削または化学機械研磨などの平坦化プロセスがTSV710を露出するように実施されることができる。その後、エッチングプロセスは、半導体基板510を陥凹(リセス)するのに用いることができ、図8に示されたように、TSV710を半導体基板510の背面から突出させる。TSV710が銅からなる実施例では、半導体基板510は、HBr/O2、HBr/Cl2/O2、 SF6/Cl2、 SF6 プラズマなどのウェットエッチングまたはドライエッチングプロセスを行うことで陥凹することができる。
その後、その他の特定のアプリケーションに適合するBEOL処理技術を実施することができる。例えば、ウエハとウエハ(wafer−to−wafer)、ウエハとダイ(wafer−to−die)、またはダイとダイ(die−to−die)結合技術(bonding techniques)を用いることができ、再配線(redistribution line)を形成することができ、保護層を形成することができ、封止材(encapsulant)を形成するができ、分割(singulation)プロセスを行うことができるなどの処理技術が実施される。
図9〜図10は、TSVを形成するもう1つの実施例を示している。TSVは、逆V型を有する。図9〜図10は、図5に上述したようなウエハと見なし、同じ参照番号は、同じ素子を表す。
以下に更に詳しく説明されるように、本実施例は、半導体基板510の背面(電子回路構成に相対する基板面)からTSVを形成する。図1〜図8を参照して上記に論じた実施例に用いられ時間を計ったエッチングプロセスとは対照的に、本実施例のTSVの形成に用いるエッチングプロセスは、例えばゲート電極、蓄電板などの電子回路構成の特徴をエッチング停止層として用いる。しかし、注意するのは、電子回路構成の特徴は、周囲材料に比べ、高いエッチング選択性を有することである。
図9を参照して、半導体基板510の背面から形成された逆V型を有する開口910が表されている。開口910は、図7を参照して上記に論じた開口610と類似の方法、例えば、類似のフォトリソグラフィー技術、エッチングプロセスなどの電子回路構成512をエッチング停止層として用いるエッチングプロセスを除いて類似の方法で形成することができる。好ましい実施例では、電子回路構成は、半導体基板510及び/或いは1つ以上の誘電体層514に対して高いエッチング選択性を有する材料から形成されるゲート電極、蓄電板などである。
また、注意するのは、説明のために電子回路構成512は、1つ以上の誘電体層514内にのみ形成するように示される。他の実施例では、電子回路構成は半導体基板510に、または半導体基板510の凹所に形成することができる。
図10は、本発明の実施例によれば、導電材料で開口910(図9を参照)を充填してTSV1010を形成するプロセスを示している。バリア層1012は、開口910を充填する前に、開口910の側壁に沿って形成することができる。TSV1010とバリア層1012は、図7を参照して上記に論じた、TSV710とバリア層712に用いるプロセスに類似したプロセスを用いて形成することができる。
図11は、TSV1010が半導体基板510の背面から突出する、TSV1010の選択的な露出を示している。エッチングプロセスは、半導体基板510を陥凹するように実施され、これにより図11に示されるように、TSV1010を残して半導体基板510の背面から突出させる。TSV1010が銅からなる実施例では、半導体基板510は、上述のドライエッチングプロセスを行うことで陥凹することができる。
その後、その他の特定のアプリケーションに適合するBEOL処理技術が実施することができる。例えば、ウエハとウエハ、ウエハとダイ、またはダイとダイ結合技術が用いられ、再配線を形成することができ、保護層を形成することができ、封止材を形成することができ、分割プロセスを形成することができるなどの処理技術が実施される。
図12は、逆V型TSV1210とバリア層1212が形成されるもう1つの実施例を示している。本実施例は、電子回路構成512(図11を参照)でなく、金属線1214がエッチング停止層として用いられている以外は、図9〜図11を参照して上記に論じた実施例に類似している。金属線1214は、どの金属層(M1、M2、M3など)上にでも形成される金属線であってもよい。図9〜図11を参照して上記に論じたように、基板510の表面からTSV1210を突出するエッチバックプロセスを含む、類似のプロセス及び/或いは材料がTSV1210とバリア層1212を形成するのに用いることができる。
その後、その他の特定のアプリケーションに適合するBEOL処理技術を実施することができる。例えば、ウエハとウエハ、ウエハとダイ、またはダイとダイ結合技術が用いることができ、再配線を形成することができ、保護層を形成することができ、封止材を形成することができ、分割プロセスを行うことができるなどの処理技術が実施される。
図13は、逆V型TSV1310が形成される、もう1つの実施例が表されている。本実施例は、電子回路構成512(図11を参照)または金属線(図12を参照)でなく、半導体基板510と1つ以上の誘電体層514間のインターフェースがエッチング停止層として用いられることを除いて、図9〜図12を参照して上記に論じた実施例に類似している。エッチング選択性を向上させるためには、半導体基板510と1つ以上の誘電体層514間のインターフェースに形成されたシリサイド領域または他の構造を用いることが望ましい。例えば、ある実施例では、トランジスタ電子回路構成512のソース/ドレイン領域に形成されたシリサイド領域がエッチング停止層として用いられる。この例では、TSV1310は、トランジスタのソース/ドレイン領域に直接コンタクトするように用いることができる。また、バリア層1312も形成することができる。図9〜図11を参照して上記に論じたように、基板510の表面からTSV1310を突出するエッチバックプロセスを含む、類似のプロセスと材料がTSV1310とバリア層1312を形成するのに用いることができる。
その後、その他の特定のアプリケーションに適合するBEOL処理技術を実施することができる。例えば、ウエハとウエハ、ウエハとダイ、またはダイとダイ結合技術が用いられる、再配線を形成することができ、保護層を形成することができ、封止材を形成することができ、分割プロセスを形成することができるなどの処理技術が実施される。
当業者には理解できるように、上記論じたV型と逆V型TSVは、多くの異なる構成に用いることができる。例えば、上述のTSVは、同じダイの電子回路構成に電気的コンタクトを提供するのに用いるか、またはその他のダイ及び/或いはキャリア板との間の電気信号を伝送するのに用いることができる。よって、上述のTSVを有するダイは、その他のダイ及び/或いはキャリア板に実装することができる。
以上、本発明の好適な実施例を例示したが、これは本発明を限定するものではなく、本発明の精神及び範囲を逸脱しない限りにおいては、当業者であれば行い得る少々の変更や修飾を付加することが可能である。従って、本発明が請求する保護範囲は、特許請求の範囲を基準とする。
102 基板
104 パターン化マスク
106 第1凹所
208 保護ライナ
302 第2凹所
410、710、1010 シリコン貫通ビア(TSV)
412 バリア層
414 導電材料
500 ウエハ
510 半導体基板
512 電子回路構成
514 誘電体層
610 開口
712 バリア層
810 金属層
910 開口
1012 バリア層
θ 角度

Claims (15)

  1. 基板、
    前記基板を覆う、1つ以上の誘電体層、及び
    前記基板を穿通して延伸し、スカロップ状の表面の側壁を有し、前記側壁に沿ったスカロップは約0.01μmより大きい深さを有するシリコン貫通ビア(TSV)を含む半導体デバイス。
  2. 前記基板のデバイス面から前記基板の背面に延伸するにつれ、前記TSVは、狭くなる請求項1に記載の半導体デバイス。
  3. 前記基板の背面から前記基板のデバイス面に延伸するにつれ、前記TSVは、狭くなる請求項1に記載の半導体デバイス。
  4. 前記TSVは、前記基板上に形成された電子回路構成と直接コンタクトする請求項3に記載の半導体デバイス。
  5. 前記TSVは、金属層と直接コンタクトを形成する請求項3に記載の半導体デバイス。
  6. 前記TSVは、前記基板と1つ以上の誘電体層間のインターフェースで停止する請求項3に記載の半導体デバイス。
  7. 前記側壁は、前記基板の表面法線に対して約5度より大きい角度で傾斜する請求項1に記載の半導体デバイス。
  8. 半導体デバイスを形成する方法であって、前記方法は、
    基板を提供するステップ、
    前記基板上に電子回路構成を形成するステップ、
    前記基板上に1つ以上の誘電体層を形成するステップ、
    1つ以上の金属線を1つ以上の誘電体層に形成するステップ、及び
    前記基板の第1面から前記基板の第2面に延伸するステップであって、スカロップ状の表面をした側壁を有し、この形成ステップは、等方性エッチングを繰り返し実施して凹所を形成し、前記凹所の側壁に沿って保護ライナを形成することで、少なくとも部分的に実施され、前記スカロップ状の表面は、約0.01μmより大きい深さを有するTSVを形成するステップを含む方法。
  9. 前記TSVを形成するステップは、
    前記保護ライナを取り除くステップ、
    前記側壁に沿ってバリア層を形成するステップ、及び
    前記凹所を導電材料で充填するステップを更に含む請求項8に記載の方法。
  10. 前記保護ライナは高分子を含む請求項8に記載の方法。
  11. 前記第1面は、前記基板の回路面である請求項8に記載の方法。
  12. 前記TSVの形成は、1つ以上の誘電体層を穿通してエッチングし、前記基板を部分的に穿通してエッチングすることで実施される請求項8に記載の方法。
  13. 前記基板の背面を薄膜化し、前記TSVを前記基板の背面から突出させるステップを更に含む請求項8に記載の方法。
  14. 前記TSVの形成は、ゲート電極、金属線、または金属線がエッチング停止層の役割を果たすエッチングプロセスを用いて実施される請求項8に記載の方法。
  15. 基板、
    前記基板を覆う1つ以上の誘電体層、及び
    前記基板を穿通して延伸し、前記TSVが前記基板の第1面から前記基板の第2面に延伸した時、前記TSVは、複数のスカロップ状領域を有し、各スカロップ状領域のTSVの幅が前のスカロップ状領域のTSVの幅より小さくなるシリコン貫通ビア(TSV)を含む半導体デバイス。
JP2010000347A 2009-01-05 2010-01-05 スカロップ状側壁を有するシリコン貫通ビア Pending JP2010157741A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/348,650 US8049327B2 (en) 2009-01-05 2009-01-05 Through-silicon via with scalloped sidewalls

Publications (1)

Publication Number Publication Date
JP2010157741A true JP2010157741A (ja) 2010-07-15

Family

ID=42311167

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010000347A Pending JP2010157741A (ja) 2009-01-05 2010-01-05 スカロップ状側壁を有するシリコン貫通ビア

Country Status (5)

Country Link
US (1) US8049327B2 (ja)
JP (1) JP2010157741A (ja)
KR (1) KR101117444B1 (ja)
CN (1) CN101771020B (ja)
TW (1) TWI406381B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011086850A (ja) * 2009-10-19 2011-04-28 Seiko Epson Corp 半導体装置及び半導体装置の製造方法、回路基板並びに電子機器
JP2012084871A (ja) * 2010-09-15 2012-04-26 Elpida Memory Inc 半導体装置、およびその製造方法、ならびにデータ処理装置
JP2012146800A (ja) * 2011-01-12 2012-08-02 Canon Inc 半導体装置及び半導体装置の製造方法
JP2012178520A (ja) * 2011-02-28 2012-09-13 Elpida Memory Inc 半導体装置及びその製造方法
JP2012186394A (ja) * 2011-03-07 2012-09-27 Mizuho Information & Research Institute Inc プラズマ加工形状シミュレーション装置及びプログラム
US8884396B2 (en) 2011-04-04 2014-11-11 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
JP2017112155A (ja) * 2015-12-15 2017-06-22 キヤノン株式会社 貫通配線基板の作製方法、及び電子デバイスの作製方法
JP2017112187A (ja) * 2015-12-15 2017-06-22 キヤノン株式会社 貫通配線を有する基板に素子を設けたデバイス及びその製造方法

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010080750A (ja) * 2008-09-26 2010-04-08 Panasonic Corp 半導体装置及びその製造方法
US8264066B2 (en) * 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
DE102009032854B4 (de) * 2009-07-13 2015-07-23 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von Bipolartransistorstrukturen in einem Halbleiterprozess
US9190371B2 (en) 2010-12-21 2015-11-17 Moon J. Kim Self-organizing network with chip package having multiple interconnection configurations
CN102637656B (zh) * 2011-02-11 2016-03-30 中国科学院微电子研究所 穿硅通孔结构及其形成方法
CN102693911A (zh) * 2011-03-23 2012-09-26 上海华虹Nec电子有限公司 干法刻蚀方法
US20120274366A1 (en) * 2011-04-28 2012-11-01 International Rectifier Corporation Integrated Power Stage
CN102856276B (zh) * 2011-06-27 2015-08-12 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103050434B (zh) * 2011-10-17 2015-09-02 中芯国际集成电路制造(上海)有限公司 硅通孔的刻蚀方法
KR101987367B1 (ko) * 2011-12-15 2019-06-11 엘지이노텍 주식회사 인쇄회로기판 및 그의 제조 방법
CN103187398B (zh) * 2011-12-30 2015-12-16 中芯国际集成电路制造(上海)有限公司 硅通孔检测结构及检测方法
CN103187390B (zh) * 2011-12-31 2016-04-13 中芯国际集成电路制造(北京)有限公司 具有改进排布方式的通孔阵列和具有该阵列的半导体器件
KR101918609B1 (ko) 2012-01-11 2018-11-14 삼성전자 주식회사 집적회로 소자
US8629061B2 (en) 2012-02-08 2014-01-14 Gtat Corporation Method for three-dimensional packaging of electronic devices
US9041210B2 (en) 2012-06-19 2015-05-26 International Business Machines Corporation Through silicon via wafer and methods of manufacturing
CN102738074B (zh) * 2012-07-05 2014-07-02 中微半导体设备(上海)有限公司 半导体结构的形成方法
CN102737984B (zh) * 2012-07-06 2015-08-05 中微半导体设备(上海)有限公司 半导体结构的形成方法
US8951915B2 (en) * 2012-09-11 2015-02-10 Infineon Technologies Ag Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US8791578B2 (en) 2012-11-12 2014-07-29 Hong Kong Applied Science and Technology Research Institute Company Limited Through-silicon via structure with patterned surface, patterned sidewall and local isolation
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
CN103887164B (zh) * 2012-12-20 2017-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种深硅刻蚀方法
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
KR20140104778A (ko) 2013-02-21 2014-08-29 삼성전자주식회사 관통전극을 갖는 반도체 소자의 제조방법
CN104064487B (zh) * 2013-03-19 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种硅通孔质量检测方法
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
TWI492345B (zh) 2013-04-17 2015-07-11 Ind Tech Res Inst 半導體結構及其製作方法
US9257337B2 (en) 2013-04-17 2016-02-09 Industrial Technology Research Institute Semiconductor structure and manufacturing method thereof
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
CN103745945B (zh) * 2013-11-15 2017-02-15 中微半导体设备(上海)有限公司 一种深硅通孔刻蚀装置及其刻蚀方法
CN103646918B (zh) * 2013-11-28 2017-01-11 中微半导体设备(上海)有限公司 硅通孔的形成方法
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
KR102258099B1 (ko) 2014-03-07 2021-05-28 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP5959071B2 (ja) * 2014-08-25 2016-08-02 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 半導体構造中の貫通電極の形成方法
WO2017095398A1 (en) * 2015-12-02 2017-06-08 Intel Corporation Anchored through-silicon vias
US20170186837A1 (en) * 2015-12-29 2017-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench capacitor with scallop profile
KR102652854B1 (ko) * 2016-08-17 2024-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
WO2018034667A1 (en) * 2016-08-18 2018-02-22 Intel Corporation Systems and methods for improved through-silicon-vias
US20200066645A1 (en) * 2016-09-30 2020-02-27 Intel Corporation Microelectronic devices and methods for enhancing interconnect reliability performance using tungsten containing adhesion layers to enable cobalt interconnects
US10079277B2 (en) 2016-11-28 2018-09-18 United Microelectronics Corp. Method of fabricating metal-insulator-metal capacitor
US9972534B1 (en) * 2017-06-05 2018-05-15 Vanguard International Semiconductor Corporation Semiconductor devices, through-substrate via structures and methods for forming the same
CN107293515A (zh) * 2017-06-20 2017-10-24 华进半导体封装先导技术研发中心有限公司 一种tsv封装结构的制备方法及其所制备的tsv封装结构
CN109698274B (zh) 2017-10-23 2021-05-25 联华电子股份有限公司 电容的制作方法
CN110190027A (zh) * 2019-07-02 2019-08-30 武汉新芯集成电路制造有限公司 半导体器件的制作方法
CN110877892B (zh) * 2019-10-25 2023-07-21 厦门云天半导体科技有限公司 一种带有空腔的器件封装结构和方法
US11856801B2 (en) * 2020-06-16 2023-12-26 Taiwan Semiconductor Manufacturing Company Limited Threshold voltage-modulated memory device using variable-capacitance and methods of forming the same
CN112366218A (zh) * 2020-11-05 2021-02-12 Tcl华星光电技术有限公司 一种显示面板的制作方法及显示面板
US11652025B2 (en) 2021-01-15 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation to enlarge electrochemical plating window
CN113299829A (zh) * 2021-05-12 2021-08-24 中国科学院微电子研究所 一种半导体器件及其制造方法
CN115588652A (zh) * 2021-07-05 2023-01-10 长鑫存储技术有限公司 半导体结构及其制备方法
KR20230009025A (ko) * 2021-07-08 2023-01-17 주식회사 키파운드리 스캘롭 프로파일을 갖는 깊은 트렌치 식각 방법
US11917806B2 (en) * 2021-08-12 2024-02-27 Changxin Memory Technologies, Inc. Method of manufacturing semiconductor structure and semiconductor structure
CN114468387A (zh) * 2021-12-30 2022-05-13 厦门云天半导体科技有限公司 硅基雾化芯及其制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128063A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置及びその製造方法
JP2004296488A (ja) * 2003-03-25 2004-10-21 Seiko Epson Corp 半導体装置の製造方法、半導体装置、及び電子機器
JP2006012889A (ja) * 2004-06-22 2006-01-12 Canon Inc 半導体チップの製造方法および半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1149654C (zh) * 1998-02-27 2004-05-12 国际商业机器公司 与半导体上绝缘通孔中的铜金属化层接触的方法和结构
JP3221398B2 (ja) * 1998-06-01 2001-10-22 日本電気株式会社 容量素子およびその製造方法
CN100461469C (zh) * 2000-12-18 2009-02-11 三星电机株式会社 GaN基Ⅲ-Ⅴ族氮化物发光二极管及其制造方法
US6864435B2 (en) * 2001-04-25 2005-03-08 Alien Technology Corporation Electrical contacts for flexible displays
US6629780B2 (en) * 2001-06-29 2003-10-07 Xanoptix, Inc. High-precision female format multifiber connector
US6555480B2 (en) * 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
CN1875476A (zh) * 2003-09-26 2006-12-06 德塞拉股份有限公司 制造包括可流动导电介质的加盖芯片的结构和方法
TWI234298B (en) * 2003-11-18 2005-06-11 Itswell Co Ltd Semiconductor light emitting diode and method for manufacturing the same
KR100653701B1 (ko) * 2004-08-20 2006-12-04 삼성전자주식회사 반도체 소자의 작은 비아 구조체 형성방법 및 이를 사용한상변화 기억 소자의 제조방법
US7598167B2 (en) * 2004-08-24 2009-10-06 Micron Technology, Inc. Method of forming vias in semiconductor substrates without damaging active regions thereof and resulting structures
US7425507B2 (en) * 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
US7416976B2 (en) * 2005-08-31 2008-08-26 Infineon Technologies Ag Method of forming contacts using auxiliary structures
JP5117698B2 (ja) * 2006-09-27 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
DE102007018098B4 (de) * 2007-04-17 2016-06-16 Austriamicrosystems Ag Verfahren zum Herstellen eines Halbleiterkörpers mit einem Graben und Halbleiterkörper mit einem Graben
TWI362102B (en) * 2007-07-11 2012-04-11 Ind Tech Res Inst Three-dimensional dice-stacking package structure and method for manufactruing the same
JP5536322B2 (ja) * 2007-10-09 2014-07-02 新光電気工業株式会社 基板の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128063A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置及びその製造方法
JP2004296488A (ja) * 2003-03-25 2004-10-21 Seiko Epson Corp 半導体装置の製造方法、半導体装置、及び電子機器
JP2006012889A (ja) * 2004-06-22 2006-01-12 Canon Inc 半導体チップの製造方法および半導体装置の製造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011086850A (ja) * 2009-10-19 2011-04-28 Seiko Epson Corp 半導体装置及び半導体装置の製造方法、回路基板並びに電子機器
JP2012084871A (ja) * 2010-09-15 2012-04-26 Elpida Memory Inc 半導体装置、およびその製造方法、ならびにデータ処理装置
US9443790B2 (en) 2010-09-15 2016-09-13 Ps4 Luxco S.A.R.L. Semiconductor device
JP2012146800A (ja) * 2011-01-12 2012-08-02 Canon Inc 半導体装置及び半導体装置の製造方法
JP2012178520A (ja) * 2011-02-28 2012-09-13 Elpida Memory Inc 半導体装置及びその製造方法
JP2012186394A (ja) * 2011-03-07 2012-09-27 Mizuho Information & Research Institute Inc プラズマ加工形状シミュレーション装置及びプログラム
US8884396B2 (en) 2011-04-04 2014-11-11 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
JP2017112155A (ja) * 2015-12-15 2017-06-22 キヤノン株式会社 貫通配線基板の作製方法、及び電子デバイスの作製方法
JP2017112187A (ja) * 2015-12-15 2017-06-22 キヤノン株式会社 貫通配線を有する基板に素子を設けたデバイス及びその製造方法

Also Published As

Publication number Publication date
TWI406381B (zh) 2013-08-21
KR101117444B1 (ko) 2012-03-13
CN101771020A (zh) 2010-07-07
KR20100081271A (ko) 2010-07-14
US8049327B2 (en) 2011-11-01
TW201027703A (en) 2010-07-16
US20100171223A1 (en) 2010-07-08
CN101771020B (zh) 2012-05-23

Similar Documents

Publication Publication Date Title
TWI406381B (zh) 半導體裝置及其形成方法
US11923338B2 (en) Stacked integrated circuits with redistribution lines
US8525343B2 (en) Device with through-silicon via (TSV) and method of forming the same
US10163864B1 (en) Vertically stacked wafers and methods of forming same
TWI525776B (zh) 最佳化之環型銅直通基板穿孔
US8836085B2 (en) Cost-effective TSV formation
TWI531046B (zh) 半導體裝置結構與其製法
US9312225B2 (en) Bump structure for stacked dies
JP5345077B2 (ja) 低k誘電体ライナーを有するシリコン貫通ビア
TWI524492B (zh) 使用多層介層窗的3d積體電路
US9984926B2 (en) Solution for TSV substrate leakage
US11043481B2 (en) Method of manufacturing semiconductor package structure
TWI812168B (zh) 三維元件結構及其形成方法
US10312181B2 (en) Advanced through substrate via metallization in three dimensional semiconductor integration
CN114864545A (zh) 半导体装置的制造方法
KR20230127836A (ko) 활성 다이 및 더미 다이를 본딩하는 방법 및 그의 구조
TW202403899A (zh) 高帶寬封裝結構及其形成方法
TW201101424A (en) Method for fabricating through-silicon via structure

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121120

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121221

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130115