JP2008538256A - 高速剥離下流チャンバ - Google Patents

高速剥離下流チャンバ Download PDF

Info

Publication number
JP2008538256A
JP2008538256A JP2008504133A JP2008504133A JP2008538256A JP 2008538256 A JP2008538256 A JP 2008538256A JP 2008504133 A JP2008504133 A JP 2008504133A JP 2008504133 A JP2008504133 A JP 2008504133A JP 2008538256 A JP2008538256 A JP 2008538256A
Authority
JP
Japan
Prior art keywords
injector
gas
channel
chamber body
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008504133A
Other languages
English (en)
Other versions
JP2008538256A5 (ja
JP5227788B2 (ja
Inventor
イン ヤン ワン
ジャロスラウ ダブリュー ウィニチェック
ディヴィッド ジェイ クーパーバーグ
エリック エイ エデルバーグ
ロバート ピー チェビー
Original Assignee
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JP2008538256A publication Critical patent/JP2008538256A/ja
Publication of JP2008538256A5 publication Critical patent/JP2008538256A5/ja
Application granted granted Critical
Publication of JP5227788B2 publication Critical patent/JP5227788B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】ウェーハ加工に用いるエッチングチャンバを提供する。
【解決手段】ガスチャンバは、キャビティを形成する上部チャンバ本体及び下部チャンバ本体と、ウェーハのための加熱チャックと、遠方ガス源と、排気装置と、を含む。ガスは、インジェクタのチャネルを通ってキャビティの中に注入される。各チャネルは、チャネルに入る入力光線が反射なしでチャネルから出て行くことを実質的に防止するのに十分な角度で互いに曲げられた部分を有する。チャネルは、チャックに最も近い端に漏斗状ノズルを有する。また、インジェクタは、熱膨張緩和スロットと、インジェクタとチャンバ及びガス源の合わせ面との間に小さな間隙と、を有する。インジェクタの温度は、冷却チャネルの冷却液及びインジェクタの容器の電気ヒータによって制御される。上部チャンバ本体は、漏斗状であり且つチャンクに最も近い上部チャンバ本体の端で下向きに湾曲する。
【選択図】図4

Description

本発明は、ウェーハ加工分野に関する。特に、本発明は、ウェーハ加工に用いられるエッチングチャンバに関する。
フォトレジスト除去(剥離)は、半導体集積回路製造にしばしば用いられる工程である。フォトレジストは、特定のパターンをウェーハ上に画成するために用いられる。フォトレジストは、例えば、リトグラフィー、イオン注入、及びプラズマエッチング(フォトレジスト以外の材料が剥離される場合)中に用いられる。これらの工程後、フォトレジストは、次の工程に続く前にウェーハから除去される。
フォトレジスト剥離は、半導体製造工場でしばしば用いられるので、ストリッパは、ウェーハ製造コスト全体を下げるために、非常に短い工程時間、即ち高い処理量を有するように設計される。ストリッパの処理量を増す異なる方法が存在するが、これらの方法は、二つの種類、即ち間接費削減及び剥離速度向上に分類される。間接費は、ウェーハ取扱い時間、ウェーハが装填されるチャンバのポンプ中断時間、チャンバ内部の圧力の安定化、ウェーハ加熱、及び所望ガスによるチャンバの裏込めを含み、それらの全てがウェーハを特定の加工に備えて前処理する。剥離速度は、フォトレジストがウェーハ表面からどの位速く除去され且つ洗浄されるかの尺度である。また、剥離速度は、ウェーハがどの位長くプラズマに暴露されるかを決定する。一般に、剥離チャンバ内でのウェーハのプラズマ暴露時間は、ウェーハ上の種々の回路の電気損傷の可能性を減ずるために最小にされる。剥離速度は、より高いプラズマ源パワー、より高いウェーハ温度、より高いプロセスガス流量を用いることによって或いはガス化学成分を変えることによって増すことができる。
ほとんどのストリッパは、入口穴を有し、ガスがこの入口穴を通して、加工されるべきウェーハを収容するチャンバの中に注入される。入口穴とウェーハとの間の典型的な垂直距離は、数インチである。この距離は、チャンバが小型で且つ製造するのに経済的であるように最小にされる。均一な剥離パターンを得るために、ウェーハ表面にガスの均一な垂直流が維持される。しかしながら、用いられる典型的な流速では、ガスは、数インチ内で扇形に広がらない。かくして、係る短い距離内で均一な流れを達成するために、ガス流をウェーハに分散させるガス分散システムが用いられる。
図1に示すように、既知のストリッパ100は、下流チャンバ102を含み、この下流チャンバ102内でウェーハ130がガスに暴露される。ウェーハ130は、チャック120によって保持される。ガス106は、入口穴104を通って下流チャンバ102に入る。ガス106がチャンバに入ると、バッフル110のようなガス分散システムは、ガス106を分散させてガス106をウェーハ130の上に均一に分配する。剥離の均一性及び剥離速度は、このガス分散システムに大きく依存する。図1及び図2に示すように、バッフル110、200は、異なる大きさの多数の穴112、202を含む。特に、バッフルの中心が縁よりも多くのガス流を受けるので、穴の大きさは、バッフルの中心からの距離が大きくなる程大きくなる。ガス106は、ウェーハ120に作用した後、出口ポート108から出る。
別のストリップ300は、図3に示すようにウェーハ330がガスに暴露される下流チャンバ302を含む。ウェーハ330は、チャック320によって保持される。ガス306は、入口穴304を通って下流チャンバ302に入る。ガス306がチャンバに入ると、多バッフル系バッフルは、ガス306を分散してガス306をウェーハ330の上に均一に分配する。第1のバッフル310は、上述した穴と同様の二つの異なる大きさの穴312、314を含む。第2のバッフル316は、第1のバッフル310の穴から片寄せられた、一つの大きさだけの穴を含むので、第1のバッフル310の穴を通り抜けるガス分子が第2のバッフル316の穴を出る前に二回90°方向転換しなければならない。ガス306は、ウェーハ330に作用した後、出口ポート308から出る。
図示しないが、ガスを分散する別の設計では、シャワーヘッドが用いられる。シャワーヘッドは、バッフルと同様であるが、その穴の数及び大きさが、背圧を引き起すようなものである。約10トル又はそれ以上の背圧が係る設計によって発生される。これらの背圧の生成は、シャワーヘッドの上のガス流を効果的に減速させ且つ流動力学作用を低ずる。
しかしながら、単一のバッフル設計について穴の大きさ及びパターンを最適化することは、面倒である。また、単一のバッフル設計に用いられるバッフルは、様々な大きさ及び多数の穴により製造するのに費用がかかる。同様に、多バッフル設計が穴パターンを簡単化するかもしれないが、多バッフルの使用は、チャンバの大きさ及び重量を増すと同時に、材料並びに製造の費用を増す。シャワーヘッド設計では、より高い上流圧力は、ガス源のイオン化効率を低下させるばかりでなく、ラジカル再結合をも増大させ、その結果、剥離速度を低下させる。
更に、バッフル或いはシャワーヘッドによってもたらされた大きな表面積及び上部チャンバの内部形状は、ガスのラジカルの急速な中和を可能にし、ラジカルはフォトレジストの剥離を実際に生じさせる。バッフルがない場合、剥離速度は、バッフルがある場合の2倍ないし3倍程度である。これは、バッフルがガス源によって生じたラジカルの半分以上を中和することを意味する。
ガスチャンバは、高価な単一或いは多バッフルを用いることなくガス流量を改善し且つ剥離速度を増大させるように設計されたチャンバ設計及びガス分散構成部品を備えている。紹介だけの目的で、一実施形態では、装置は、キャビティを形成する上部チャンバ本体及び下部チャンバ本体と、キャビティのためにガスを供給するガス源と、キャビディ内のガスを除去する排気装置と、キャビティに配置されたチャックと、貫ぬいて延びチャネルを含むインジェクタと、を含む。各チャネルは、チャネルに入る光線がチャネルを直接出て行くのを、即ち、チャネルに入る光線がチャネル内で少なくとも一回反射を受けることなくチャネルを出て行くのを、実質的に阻止するのに十分曲げられる。
別の実施形態では、装置は、ガス源とキャビティとの間に単一の備品を含み、ガスがこの備品の中を通ってキャビティに入る。備品は、互いに実質的に垂直な角度で曲った部分を有するチャネルを備えている。
別の実施形態では、装置は、ガスをガス源からチャネルを通してキャビティの中へ導入すると同時にガス源からの放射がチャネルの中を通るのを阻止するための注入手段を含む。様々な更なる実施形態では、チャネルの端は、チャネルからチャンバの中に注入されたガスをチャネルの角度とは異なる角度に曲げるための注入手段を含むのがよい;上部チャンバ本体は、注入手段によって注入されたキャビティ内のガスを案内するための案内手段を含むのがよい;及び又は注入手段は、注入手段の熱膨張を吸収するための手段と、注入手段と上部チャンバ本体及びガス源の少なくとも一つとのあわせ面のこすれを除去するための手段と、及び又は注入手段の温度を調整するための手段と、を含むのがよい。
別の実施形態では、方法は、光がチャネルの中を真直ぐ通るのを防止するのに十分曲がる、インジェクタのチャネルを通して、ガスをウェーハに向って、上部チャンバ本体と下部チャンバ本体によって形成されたキャビティの中に注入し、少なくとも、ガスが流れるチャネルの角度、ガスを注入するチャネルの端の角度、及び上部チャンバ本体及び下部チャンバ本体の内面の角度を用いてガスの流れを形成し、及びウェーハに当ったガスを排気口を通して除去することを含む。
更なる実施形態では、チャネルの少なくとも一つは、インジェクタの上部分に第1の傾斜角を有し、第1の傾斜角は、インジェクタの下部分の第2の傾斜角にほぼ垂直である。第1の傾斜角及び第2の傾斜角の少なくとも一つは、インジェクタの中心軸から斜めであるのがよい。第1の傾斜角は、インジェクタの中心軸から約0°ないし60°までの範囲にあり、第2の傾斜角は、インジェクタの中心軸から約10°ないし60°の範囲にあるのがよい。
別の実施形態では、チャネルの少なくとも一つの端のノズルは、チャネルの残りの部分よりも大きい直径を有する。ノズルの直径は、チャネルの端までの距離が短くなる程大きくなり且つ漏斗状であるのがよい。上部チャンバ本体の内面に隣接するノズルの端の角度は、内面の角度に一致するのがよい。内面は、漏斗状であるのがよいし下部チャンバの内面に隣接する上部チャンバ本体の内面は、下方に曲がる。上部チャンバ本体の内面は、漏斗状で且つチャックに向って下方に曲がるのがよい。
別の実施形態では、インジェクタは、テーパ下部分を有し、テーパ下部分は、異なる割合でテーパする第1の領域及び第2の領域を有するのがよい。上部チャンバ本体の内面は、第1の領域及び第2の領域の少なくとも一つのテーパ角度に一致するのがよい。
別の実施形態では、インジェクタは、ガス源とキャビティとの間に配置される。インジェクタは、ガス源に取り付けられ且つガス源に接触するのがよい。О-リングは、インジェクタとガス源との間及びインジェクタと上部チャンバ本体との間に配置されるのがよく且つインジェクタは、少なくとも一つのО-リングの内側にインジェクタの中心軸にほぼ平行なスロットを含む。変形例では、インジェクタは、インジェクタの表面とガス源の表面と;及びインジェクタの表面と上部チャンバ本体の表面と;の少なくとも一つの間のО-リングの内側に隙間を含むのがよい。
別の実施形態では、インジェクタは、インジェクタの温度の手動或いは自動調整を可能にする温度調整システムを含む。温度調整システムは、インジェクタの冷却液を有する冷却チャネルと、インジェクタの温度を感知する温度センサと、インジェクタの温度を変えるヒータと、を含むのがよい。
好ましい実施形態の以下の図面及び詳細な説明は、本発明のこれら及び他の形態をより明らかに示すであろう。
ガスの流れを改善し且つチャンバ内に配置されたウェーハ上のフォトレジストの剥離速度を増大させるためのガスチャンバを説明する。ガスチャンバは、調製された上部チャンバ本体と、チャンバの周りにガスを分散させると同時にガスが暴露される非常に小さい表面積を有するガスインジェクタと、を有する。更に、ガスインジェクタは、既知のバッフル或いはシャワーヘッドよりも小さく、その小さなサイズ及び比較的簡単で且つ短い機械工程により、製造がより経済的である。ここで用いられる、用語のガスは、ラジカルを含むガス、即ち、プラズマを含む。
ガスを用いる剥離工程では、典型的には、ガスは、高い流速及び高い圧力を有する。一例として、ガスの流速は、1トル(Torr)で毎分5標準リットル(slm)である。ガスについて、この圧力での平均自由行程を、以下の式で得ることができる:
Figure 2008538256
ここで、Lはガスの平均自由行程、kはボルツマン定数、Tはガスの絶対温度、Pは圧力、dはガス分子の直径である。一例として、酸素分子の平均自由行程は、室温で約0.06mmである。しかしながら、ガスが発火されたときには、ガス温度は、劇的に上昇する。ガス温度が1000°Kまで上昇すると、酸素の平均自由行程は、約0.2mmまで上昇する。これらの値は、ウェーハ加工チャンバのいかなる幾何学的部分よりもかなり小さい。従って、ガス流は、ニュートン気体力学が支配する粘性流として処理される。
高いガス流速度は、高いガス流工程の直接的な結果である。半導体ウェーハの表面からフォトレジストを剥離するための典型的な手法は、1トルで5slmのO2/N2の流速を要求する。これらの流れ及び圧力条件下で、例えば、2.5cmの出口直径を有するガス源を出るガス速度は、約177m/秒である。一様な剥離パターンを得るために、ウェーハ表面で均一な垂直ガス流が用いられる。177m/秒で、典型的には、ガスは、分散装置がガス流に存在しない限り、ウェーハの表面にわたり均一に分散しない。
図4に示すように、ガスチャンバ400は、上部チャンバ本体402及び下部チャンバ本体404と、遠方のガス源440と、排気装置450と、を含む。上部チャンバ本体402及び下部チャンバ本体404は、真空を発生させるキャビティ416を形成する。上部チャンバ本体402と下部チャンバ本体404との間に配置されたО-リング406により、真空を維持させる。ガス源440は、マイクロ波或いはRF式であり、ガス源440に入るプロセスガスを励起してプラズマを生じさせる。典型的なガスは、所望の工程に応じて、酸素、窒素、塩素、アルゴン、キセノンを含む。ガス源440は、典型的には、サファイアを含むガス輸送管442を含む。
ガス源440は、ネジ或いはボルトを用いてガスチャンバ400の上部チャンバ本体402に取り付けられる。ガス源440は、注入ポート414を介して上部チャンバ本体402と連通して、ガスがインジェクタ410のチャネル412を通して上部チャンバ本体402に下流に運ばれる。一実施形態では、注入ポート414は、約2.5cmの直径を有し、これはガス源440の典型的なガス輸送管442と同じ大きさである。ガス源440は、例えば水によって冷却されるのが好ましい。
一旦ガスがインジェクタ410によって分散されると、ガスは、上部チャンバ本体402のキャビティ416の壁によって閉じ込められ且つ温度制御式チャンク430の上に配置されたウェーハ420に均等に衝突する。インジェクタ410、ウェーハ420及びチャック430は、上部チャンバ本体402及び下部チャンバ本体404によって形成されたキャビティ416の中に配置される。一実施形態では、キャビティ416は、約33cmないし41cmの直径及び約10cmないし30cmの高さを有する。ウェーハ420は、いかなる直径を有してもよいが、典型的には、15.24cm(6インチ)、20.32cm(8インチ)或いは30.48cm(12インチ)のウェーハが半導体製造に用いられる。
一実施形態では、ガスは、先の工程から残っているフォトレジスト層を焼失する。先の工程は、例えば、イオン注入、エッチング、或いは金属堆積のような、いかなる半導体製造工程であってもよい。次いでガスは、真空ポンプ458によって下部チャンバ本体404から出口ポート408を経て一連の真空構成部品を通して引き出される。これらの真空構成部品は、例えば、真空ライン452、隔離弁545、及びスロットルバルブ456を含む。
図4では、インジェクタ410は、ガス源440の直ぐ下で且つ上部チャンバ本体402の直ぐ上に配置される。インジェクタ410の内側には多流れチャネル412がある。流れチャネル412は、上部チャンバ本体402の中心線からある角度をなしている。角度をなした流れチャネルは、ガス源440からガス流を分けて、これをウェーハ420に均等に差し向ける。流れチャネルの直径及び数は、流れチャネルがウェーハ上に均一なガス分配をもたらすがガス源440に多量の背圧を生じさせないように選択される。ガス源440の高い背圧は、ガスイオン化を悪化し、ラジカル再結合を高めることがある。
1トルのチャンバ圧力及び5slmの流速について、インジェクタ410は、ガス源440に生成されるラジカルの数を大幅に減少させる10トルの背圧以下である、約4トルの背圧をガス源440に生じさせる。この例では、インジェクタ410は、約46cm2のガス暴露表面積を有し、ガス暴露表面積は、上面、流れチャネルの壁及びインジェクタ410の底面を含む。比較として、図1の単一バッフル構造は、2000cm2以上の表面積を有する。
ラジカルは、分子とチャネル壁との衝突によりインジェクタ410の流れチャネル412の内側で依然として再結合することがあるが、再結合は、小さいチャネル壁表面及び流れチャネル412の内側の高いガス速度により最小である。流れチャネル412の直径は小さいが、使用される圧力及び温度でその中を流れるガスの平均自由行程よりも依然として遥かに大きい。先に述べた流れ条件下で流れチャネル412の中を流れるガスの平均速度は、約260m/秒である。この流速で、分子は、流れチャネル412の中を移動するのに約12マイクロ(μ)秒かかるに過ぎない。従って、流れチャネル412の中を通過するときに少量のラジカルが中和されるに過ぎない。
一例では、図5の斜視図に示すように、インジェクタ500は、6つの流れチャネル502を含む。各流れチャネル502は、約0.4cmの直径及び約2.7cmの長さを有する。図5は、6チャネルインジェクタを示すが、これより多くの或いはこれより少ないチャネルを有するインジェクタを所望により用いてもよい。図6は、例えば、4チャネルインジェクタ600を示す。破断図で見ることができるように、インジェクタ600のチャネルは、一つあるいはそれ以上のベンドを含む。ガスがチャネルの入口からチャネルの出口まで直接的に実質的に通過することによりイオン化される場合には、各チャネルは、ガス源で発生した紫外(UV)線及び帯電分子を最小にし或いは除去するのに十分な角度に曲げられる。換言すれば、UV線は、反射することなく入口から出口まで実質的に通過しない。適切に阻止されないならば、UV線及び帯電分子は、ウェーハまで移動して回路を破損することがある。
図6に示すように、インジェクタ600は、上部分610及び下部分612を有する。上部分610は、以下に詳述するように、実質的に円筒形であり、且つインジェクタ600を遠方ガス源及び上部チャンバ本体に結合するために用いられる。下部分612は、遠方ガス源からの距離の増大につれて異なる割合でテーパする第1の領域614及び第2の領域616を有する。下部分612は、上部分610の直径よりも小さい直径を有する。第1の領域614及び第2の領域616は、他の形状、例えば、球形或いは円筒形の形状を有してもよい。同様に、第1の領域614及び第2の領域616が異なる割合でテーパするものとして示されているが、第1の領域614及び第2の領域616は、同じテーパ(例えば、実質的に単一の円錐形或いは球形構造)を有してもよいし或いはテーパなしでもよい(例えば、一つ或いはそれ以上の直径の一つ或いはそれ以上の円筒を有する実質的に円筒形である)。
更に、各チャネル602は、上部分604及び下部分606を有する。下部分606は、ガスが排出されるノズル608を含む。ノズル608を除いた、チャネル602の直径は、実質的に一定のままである。ノズル608は、チャネル602の終端に近くなればなる程その直径が増大する。図示した実施形態では、ノズル608は、ほぼ漏斗状である。
一つのチャネルの上部分604は、インジェクタ600の中心軸から傾斜角度Aを有し、傾斜角度Aは、チャネルの下部分606の角度Bにほぼ垂直である。下部分606の角度Bは、流れチャネル602を出るガスの角度を決定し且つウェーハでの流れパターンを調整するために用いられる。ガス流は、より小さな角度で中心に向ってもっと集束され、そしてより大きな角度でもっと発散される。異なる流量及び圧力条件とガスの種類とは、最良の全体的性能のために最適化されるべき異なる角度を有するインジェクタを用いてもよい。例えば、角度Aは、インジェクタ600の中心軸から約0°ないし60°の範囲にあり、角度Bは、インジェクタ600の中心軸から約10°ないし60°の範囲にある。
上部分604及び下部分606について角度の垂直平面を用いることによって、チャネル602の直接透視線を回避することができる。かくして、UV光線を遮ることができ、角度Bを変えて剥離の均一性のためにインジェクタの設計を最適化することができる。更に、ウェーハに達するイオンを減じるために、インジェクタは、イオン化ガス流を鋭く曲げる。鋭い曲げは、壁衝突を容易にし、従ってイオンを中和させるのを助ける。これは、インジェクタを出てゆくイオンの数の一定な減少を可能にする。単一ベンド(即ち、たった二つの部分)を有するチャネルだけを示したが、チャネルは、複数の鋭いベンド(即ち、二つ以上の部分)を有してもよいことに注目する。変形例として、チャネルは、チャネルの入口から出口までの視線を除去し且つガス分子を曲線に沿って表面と衝突させるように曲げられてもよい。
他の例では、インジェクタの直径は、約5cmないし13cmの範囲にあり、厚さは、約1cmないし13cmの範囲にあるのがよい。3ないし24個の流れチャネルがインジェクタに存在する。これらの流れチャネルは、約0.3cmないし1cmの範囲の直径を有し且つ長さが約1cmないし5cm延びるのがよい。
剥離の均一性は、チャンバの異なる特徴によって影響される。インジェクタの下部チャネルの角度は、ノズルから出てくるガス流の方向を制御し、かくしてウェーハの中心から縁まで剥離の均一性を変える。ノズルの広がった出口は、ノズルから出てくるガス流を広げるのを助け、かくして円周方向の均一性を向上させる。
更に、図4に示す、漏斗状の上部チャンバ本体は、ガスがインジェクタから出た後のガス流パターンに影響を及ぼす。上部チャンバ本体の内面は、連続であるから、インジェクタから流れ出るガスが上部チャンバ本体に閉じ込められる。漏斗形状は、ガスがインジェクタを出た後ガスの再循環を減少させる。漏斗表面は、下部チャンバ本体(或いはウェーハの縁)に達するとき下方に湾曲し、ウェーハの縁での剥離速度を制御するようにガスを更に閉じ込め且つ案内する。
上部チャンバ本体の頂部の漏斗形状は、図1及び図3に示す円筒形の上部チャンバ本体によって用いられる容積と比較して上部チャンバ本体及び下部チャンバ本体によって形成された空間の容積を減じる。これは、チャンバを大気圧から工程中用いられる圧力まで排気するのにかかる時間を短縮すると共に大気に放出するのにかかる時間を短縮する。ある剥離チャンバは、加工されたウェーハ毎に排気及び排出を用いる結果、一回分のウェーハについて、処理量の減少が大きく、即ち、加工時間の増加が大きい。中央ウェーハ−移送真空チャンバの囲いに群をなすように設計された、他の剥離チャンバは、チャックとウェーハとの間の熱伝達を向上させるために工程圧力より高い圧力への部分ガス抜きを用いる。次いで、チャンバは、ウェーハ加熱が終了した後に工程圧力に排気される。
インジェクタの温度の制御は、一貫した工程結果を達成することを助ける。例えば、インジェクタの表面で再結合するガスラジカルの表面再結合効率は、表面の温度で変化する。ガスの化学現象に応じて、再結合速度は、温度に比例することがあり或いは温度に反比例することがある。しかしながら、図1及び図3に示す典型的なバッフルの大きさにより典型的なバッフルの温度を調整することは、難しいことがある。バッフルの温度が変化する場合、工程結果は、ウェーハ毎に異なるかもしれない。また、バッフルの温度を一様に保つことも難しい。図1及び図3に示すチャンバについて、バッフルの温度は、バッフルの中心でより高い、何故ならば、この領域がプラズマ源の直ぐ下にあり且つバッフルの他の領域よりも多くの熱負荷を受けるからである。非一様な温度プロフィールにより、バッフル表面は非一様なラジカル再結合効率を有し、工程を更に複雑にする。
しかしながら、インジェクタが典型的なバッフルよりも著しく小さいので、インジェクタの温度を制御することは、容易である。図7は、ガスチャンバ700の一実施形態の詳細断面図を示す。ガスチャンバ700は、上部チャンバ本体702と、インジェクタ710と、ガス源750と、を含む。ガス源750は、ねじ730によって上部チャンバ本体702に結合される。同様に、インジェクタ710は、ねじ740によってガス源に結合される。ガス源750は、プラズマ752を発生し、プラズマ752は、インジェクタ710のチャネル712の中を通って上部チャンバ本体702に供給される。ガス源750は、上部真空O-リング720が配置される凹部を含み、上部チャンバ本体702は、下部真空O-リング722が配置される凹部を含む。また、インジェクタ710は、以下に説明するように、スロット716及び間隙718も含む。
図7に示すように、温度を制御下に保つために、インジェクタ710は、大気圧にある大きな熱接触領域を有するように設計される。熱接触領域は、真空O-リング720、722の外側のインジェクタ710の領域である。ねじ730及び740は、熱接触領域とガス源750との間に良好な熱伝達路を生成するためにインジェクタ710とガス源750/上部チャンバ本体702との間に緊密な接触をもたらす。プラズマ752から受けた熱エネルギーは、熱接触領域を通ってガス源750或いは上部チャンバ本体702に伝達される。このエネルギーの伝達は、インジェクタを所望温度に或いはそれ以下に維持するのに十分効率的である。
図8に示すように、インジェクタ800には、大量の熱を除去させる冷却液822を収容する一つ以上の冷却チャネル820が形成されることもある。インジェクタ800を一定の温度に維持するために、冷却液820を、温度制御装置(図示せず)の中を循環させることができる。次いで、インジェクタの温度は、冷却液822の温度を温度制御装置に設定することによって制御することができる。各冷却チャネル内の冷却液は、同じか或いは異なることがある。
アクティブ温度制御を望むならば、加熱と冷却の組合せを用いるのがよい。図9に示すような、ヒータ960を、冷却チャネル920から分離してインジェクタ900の中に挿入することができる。ヒータ960は、例えば、抵抗体であってもよい。温度コントローラ950を、インジェクタ900の温度を調整するためにヒータ960への電流を制御するために用いることができる。ヒータ960を別々に或いは一つ以上のグループで制御してもよい。更に、一つ以上の温度センサ970をインジェクタ900の中に挿入してもよい。温度センサ970は、例えば、熱電対でもよいし或いは抵抗温度検出器(RTD)であってもよい。変形例として、インジェクタの温度を制御するために、ヒータ及び冷却チャネルに代わって、熱電素子を用いることができる。
工程変動以外に、ガスチャンバのいろいろな構成部品の温度変化が他の問題を引き起す。例えば、比較的良好な熱伝達でも、インジェクタの温度は、相手部品(例えば、ガス源及び上部チャンバ本体)の温度より依然として高い。インジェクタとインジェクタ領域の相手部品との間の熱膨張の不一致は、機械的応力を生じる。この機械的応力は、インジェクタ或いは相手部品を変形させるか或いは破損させることがある。これを緩和するために、一つ以上のスロット716をインジェクタ710に形成する。スロット716は、インジェクタ710の各側の円形垂直スロットであり、熱膨張緩和スロットとして作用する。
更に、熱膨張不一致は、粒子汚染を引き起すかもしれない。インジェクタが加熱したり冷却したりすると、インジェクタは、相手部品に対して膨張したり収縮したりする。その結果、インジェクタ及び相手部品の合わせ面の間にこすれが起る。こすれは、粒子を引き起し、粒子が導入されたならば、チャンバ内のウェーハに有害である。合わせ面のこすれを回避するために、0.13mm以下の小さな間隙718が真空O−リング720及び722の内側の合わせ面の間に導入される。間隙をO−リング720及び722の外側の領域に設けることができるが、O−リング720及び722は、図7には示されていないが、O−リング720及び722の外側の粒子がチャンバ700に入るのを効果的に排除する。
インジェクタ並びに上部チャンバ本体及び下部チャンバ本体は、全てプラズマ抵抗材料を用いて製造することができる。プラズマ抵抗材料は、金属材料或いは非金属材料から形成することができる。インジェクタを形成するために一つ以上の金属が用いられるならば、インジェクタは、例えば、アルミニウム及びアルミニウム合金、ステンレス鋼及び高ニッケル合金、石英、酸化アルミニウムセラミック、窒化アルミニウムセラミック、及び又は酸化イットリウムセラミックを含むことがある。
金属を用いて製造された部品は、プラズマ抵抗被覆で腐蝕しないように保護することができる。一例では、自然の表面酸化物が優れた腐蝕障壁をもたらすのでアルミニウムを用いてもよい。しかしながら、或る工程条件下でフッ素ベースの化学現象を用いる場合、アルミニウム生成酸化物は、ウェーハに汚染を引き起すフッ化アルミニウムの形成を回避するのに十分な保護をもたらさない。フッ化金属が金属部分の上に形成されることを防ぐために、フッ素化学作用に対して優れた抵抗を有する被覆を金属部分の表面に施すことができる。アルミニウム及びアルミニウム合金上の陽極酸化、並びにプラズマ溶射酸化アルミニウム、ニッケルメッキ、石英、酸化イットリウム及び又はその他のセラミック材料のような被覆を、いろいろな化学現象からの保護のために用いてもよい。
図4に戻ると、ウェーハ420は、チャンバ内のウェーハ加熱チャック430に位置する。剥離工程を行う前に、ウェーハを、化学反応を加速するに十分高い温度まで加熱する。剥離の均一性がウェーハの温度一様性に直接関係するので、ウェーハ加熱は、重要である。非生産的である、ウェーハがチャンバの中に存在する時間を減じるために、ウェーハは、できるだけ迅速に加熱される。静電気チャンクがストリッパアプリケーションに用いられるかもしれないが、静電気チャンクは、高価で信頼性がない。しかしながら、静電チャックは、非静電チャックが有していない、良好な熱伝達のためにウェーハをチャックに引き寄せる電気誘導クランプ力を有する。係る問題を緩和する一つの方法は、チャックの平坦性を特定の量内に制御することにある。一例では、非静電チャックを用いる場合、高速熱伝達及び一様なウェーハ温度をもたらすために、非静電ヒータチャックは、約27μmよりも良好な全体的な平坦性を有する。
更に、チャンバの排気は、ウェーハ上のフォトレジストの剥離速度に影響を及ぼす。剥離工程は、通常、高流量(例えば、毎分数標準リットル(slm)及び高圧力(例えば、750ミリトル(mTorr)以上)である。従って、剥離工程は、全体的には粘性流方式或いは分子流方式のいずれでもない。一様な排気をもたらすために、単一のポンプポート408が下部チャンバ本体404の中央に配置される。
工程結果を向上させるために、別のシステムをチャンバに組込むことができる。例えば、係るシステムの一つは、光学スペクトル端点検出器である。狭帯域光学波長検出気か広帯域光学波長検出器のいずれかが、ウェーハ平面の上のバルクプラズマを直接見るチャンバの側面ののぞき窓に取り付けられる。フォトレジストとプラズマとの間のウェーハ表面での化学反応は、特定の標識スペクトルを放射する。一旦フォトレジストが消耗すると、このスペクトルは、直ぐに変化する。この光学信号変化は、剥離工程の終りを決定する。終点検出は、高ドーズ量注入レジスト除去のような多層剥離工程の移行を決定するのに十分精巧になった。この種のレジストは、注入工程により硬皮を有する。硬皮を突き破るように設計された化学現象は、硬皮の下のレジストの残りの部分を剥離するように設計された化学現象とは違う。適切な設定により、光学検出器は、硬皮がエッチングされたとき光学スペクトルが変化するのでこの移行を決定することができる。信号のこの変化は、ソフトウェアにプラズマの化学現象を変化させ且つバルクレジスト除去のために異なる手法に切り替えさせる。しかしながら、上述した光学スペクトル端点検出器のようなシステムは、費用、重量及び大きさが増す。
チャネルを有する単一のインジェクタを含み、ガスがその中を通って真空チャンバに流入するようにしたガスチャンバを説明した。チャネルは、互いにほぼ垂直な部分を有する。それらの部分は、インジェクタの中心軸から約60°までの角度に配置される。チャネルは、漏斗状終端部分を有する。チャンバは、インジェクタの漏斗状終端部分の角度に一致し且つインジェクタから注入されたガスを分散させるテーパ上部分を有する。インジェクタは、小さく、そして製造が比較的簡単である。
特定の実施形態を記述したが、この記述は、説明のためだけであり本発明を限定するものではない。材料及び又は寸法形状の相違のような、いろいろな変更、及びアプリケーションが、特許請求の範囲に記載される発明の真の精神及び範囲から逸脱することなく当業者にとって思い浮かぶからしれない。
既知の単一バッフルストリッパチャンバを示す図である。 図1のバッフルを示す図である。 既知の多バッフルストリッパチャンバを示す図である。 第1の形態によるガスチャンバを示す図である。 第1の形態による分散構成部品の斜視図である。 第2の形態による分散構成部品の断面図である。 第3の形態による分散構成部品の断面図である。 第4の形態による分散構成部品の断面図である。 第5の形態による分散構成部品の断面図である。
符号の説明
400 ガスチャンバ
402 上部チャンバ本体
404 下部チャンバ本体
406 О−リング
408 出口ポート
410 インジェクタ
412 チャネル
414 射出ポート
416 キャビティ
420 ウェーハ
430 温度制御式チャンク
440 ガス源
442 ガス輸送管
450 排気装置
452 真空ライン
454 隔離弁
456 スロットルバルブ
458 真空ポンプ

Claims (32)

  1. ガスを基板に送出すための装置であって、
    キャビティを形成する上部チャンバ本体及び下部チャンバ本体と、
    キャビティと流体連通するガス源と、
    キャビティのガスを取り出すようになった排気装置と、
    キャビティ内に配置されたチャックと、
    貫ぬいて延びる複数のチャネルを含む、インジェクタを含み、各チャネルが、チャネルに入る光線がチャネルを直接出て行くのを実質的に阻止するに十分曲げられる、上記装置。
  2. チャネルの少なくとも一つは、インジェクタの下部分の第2の傾斜角にほぼ直角なインジェクタの上部分の第1の傾斜角を有する、請求項1に記載の装置。
  3. 第1の傾斜角及び第2の傾斜角の少なくとも一つは、インジェクタの中心軸から斜めである、請求項2に記載の装置。
  4. 第1の傾斜角は、インジェクタの中心軸から約0°ないし60°の範囲にあり、第2の傾斜角は、インジェクタの中心軸から約10°ないし60°の範囲にある、請求項3に記載の装置。
  5. 少なくとも一つのチャネルの端のノズルは、ほぼ漏斗状である、請求項1に記載の装置。
  6. 上部チャンバ本体の内面に隣接するノズルの端の角度は、内面の角度に一致する、請求項5に記載の装置。
  7. 内面は、漏斗状である、請求項6に記載の装置。
  8. インジェクタは、テーパ下部分を有する請求項1に記載の装置。
  9. テーパ下部分は、異なる割合でテーパする第1の領域及び第2の領域を有する、請求項8に記載の装置。
  10. チャンバ本体の内面は、第1の領域及び第2の領域の少なくとも一つのテーパの角度に一致する、請求項9に記載の装置。
  11. インジェクタは、ガス源に接触する、請求項1に記載の装置。
  12. インジェクタとガス源との間及びインジェクタと上部チャンバ本体との間にO-リングを更に含み、インジェクタは、O-リングの少なくとも一つの内側にスロットを含み、スロットは、インジェクタの中心軸にほぼ平行である、請求項11に記載の装置。
  13. インジェクタとガス源との間及びインジェクタと上部チャンバ本体との間にO-リングを更に含み、インジェクタは、
    インジェクタの表面と上記ガス源の表面;及び
    インジェクタの表面と上部チャンバ本体の表面、
    の少なくとも一つの間のO-リングの内側に間隙を含む、請求項11に記載の装置。
  14. インジェクタは、該インジェクタの温度の手動或いは自動調整を可能にする温度調整システムを更に含む請求項1に記載の装置。
  15. 温度調整システムは、インジェクタの冷却チャネルを含み、冷却チャネルは、冷却液を含む、請求項14に記載の装置。
  16. 温度調整システムは、インジェクタの温度を感知する温度センサと、インジェクタの温度を変える電気ヒータと、を更に含む、請求項15に記載の装置。
  17. キャビティを形成する上部チャンバ本体及び下部チャンバ本体と、
    キャビティと流体連通するガス源と、
    キャビティのガスを除去するようになった排気装置と、
    キャビティに配置されたチャックと、
    キャビティに入るためにガスが通るガス源とキャビティとの間の単一の備品と、を含み、
    備品は、互いに関してほぼ直角に曲げられた少なくとも二つの接続部分を有するチャネルを備えている、装置。
  18. 漏斗状ノズルが少なくとも一つのチャネルの端に配置される、請求項17に記載の装置。
  19. 上部チャンバ本体の内面に隣接するノズルの端の角度は、内面の角度に一致する、請求項17に記載の装置。
  20. 内面は、漏斗状である、請求項19に記載の装置。
  21. 備品とガス源との間及び備品と上部チャンバ本体との間にО−リングを更に備え、備品は、少なくとも一つのО−リングの内側にスロットを含み、スロットは、備品の中心軸にほぼ平行である、請求項17に記載の装置。
  22. 備品とガス源との間及び備品と上部チャンバ本体との間にО−リングを更に備え、備品は、
    インジェクタの表面とガス源の表面;及び
    インジェクタの表面と上部チャンバ本体の表面、
    の少なくとも一つの間のO-リングの内側に間隙を含む、請求項17に記載の装置。
  23. 備品は、備品の温度の手動或いは自動調整を可能にする温度調整システムを更に含む、請求項17に記載の装置。
  24. 温度調整システムは、備品に冷却チャネルを含み、冷却チャネルは、冷却液を収容する、請求項23に記載の装置。
  25. 温度調整システムは、備品の温度を感知する温度センサと、備品の温度を変える電気ヒータと、を更に含む、請求項24に記載の装置。
  26. ウェーハを加工する方法であって、
    光が反射なしでチャネルの中を通るのを実質的に防止するのに十分曲がるインジェクタのチャネルを通して、ガスをウェーハに向って、上部チャンバ本体及び下部チャンバ本体によって形成されたキャビティの中に注入し、
    少なくとも、ガスが流れるチャネルの角度、ガスが注入するチャンネルの端の角度、及び上部チャンバ本体及び下部チャンバ本体の内面の角度を用いてガスの流れを形成し、
    ウェーハに当ったガスを排気口を通して除去する、段階を具備する方法。
  27. ほぼ垂直なベンドを各チャネルに設けることを更に含み、各ベンドは、インジェクタの中心軸から最大でも約60°である、請求項26に記載の方法。
  28. 漏斗状ノズルを各チャネルの端に設けることを更に含む、請求項26に記載の方法。
  29. 漏斗状内面を上部チャンバ本体に設けることを更に含む、請求項28に記載の方法。
  30. インジェクタの熱膨張を吸収するスロットをインジェクタに設けることを更に含む、請求項26に記載の方法。
  31. インジェクタのあわせ面と上部チャンバ本体及びガスを供給するガス源の少なくとも一つとの間に間隙を設けることを更に含む、請求項26に記載の方法。
  32. インジェクタの温度を制御することを更に含む、請求項26に記載の方法。
JP2008504133A 2005-04-01 2006-03-20 高速剥離下流チャンバ Active JP5227788B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/096,820 2005-04-01
US11/096,820 US8298336B2 (en) 2005-04-01 2005-04-01 High strip rate downstream chamber
PCT/US2006/009972 WO2006107573A2 (en) 2005-04-01 2006-03-20 High strip rate downstream chamber

Publications (3)

Publication Number Publication Date
JP2008538256A true JP2008538256A (ja) 2008-10-16
JP2008538256A5 JP2008538256A5 (ja) 2009-05-14
JP5227788B2 JP5227788B2 (ja) 2013-07-03

Family

ID=37068918

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008504133A Active JP5227788B2 (ja) 2005-04-01 2006-03-20 高速剥離下流チャンバ

Country Status (6)

Country Link
US (2) US8298336B2 (ja)
JP (1) JP5227788B2 (ja)
KR (1) KR101235500B1 (ja)
CN (1) CN101589172B (ja)
TW (2) TWI392011B (ja)
WO (1) WO2006107573A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9422624B2 (en) 2014-01-24 2016-08-23 Tokyo Electron Limited Heat treatment method
US9435026B2 (en) 2013-03-28 2016-09-06 Tokyo Electron Limited Film deposition apparatus
JP2017175053A (ja) * 2016-03-25 2017-09-28 スピードファム株式会社 局所ドライエッチング装置

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7562638B2 (en) * 2005-12-23 2009-07-21 Lam Research Corporation Methods and arrangement for implementing highly efficient plasma traps
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
NL1035846A1 (nl) * 2007-08-23 2009-02-24 Asml Netherlands Bv Radiation source.
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
JP5474291B2 (ja) 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US20090217950A1 (en) * 2008-03-03 2009-09-03 Multimetrixs, Llc Method and apparatus for foam-assisted wafer cleaning
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
CN102084469B (zh) * 2008-07-09 2013-05-01 东京毅力科创株式会社 等离子体处理装置
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101603176B1 (ko) * 2010-02-12 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 가스 유동 개선들
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9120344B2 (en) * 2011-08-09 2015-09-01 Kateeva, Inc. Apparatus and method for control of print gap
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
DE102012107282A1 (de) * 2012-01-17 2013-07-18 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
JP5965680B2 (ja) * 2012-03-08 2016-08-10 東京エレクトロン株式会社 処理室内部品の冷却方法、処理室内部品冷却プログラム、及び記憶媒体
KR102377885B1 (ko) * 2012-08-08 2022-03-22 카티바, 인크. 프린팅 시스템, 프린팅 장치 및 가스 베어링
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103871815A (zh) * 2012-12-11 2014-06-18 旺宏电子股份有限公司 半导体处理装置及处理半导体晶圆的方法
WO2014119177A1 (ja) * 2013-01-30 2014-08-07 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935466B (zh) * 2015-12-30 2018-10-30 中微半导体设备(上海)有限公司 气体分配系统及等离子体祛光刻胶装置及其气体分配方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP2019161165A (ja) * 2018-03-16 2019-09-19 東京エレクトロン株式会社 プラズマ処理装置
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
US11814716B2 (en) * 2019-11-27 2023-11-14 Applied Materials, Inc. Faceplate having blocked center hole
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11810764B2 (en) 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115502067B (zh) * 2022-10-25 2023-09-26 长鑫存储技术有限公司 加热装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0897155A (ja) * 1994-09-28 1996-04-12 Sony Corp プラズマ加工方法およびプラズマ発生装置
JPH09186140A (ja) * 1995-10-16 1997-07-15 Applied Materials Inc プラズマプロセスリアクタのガスインジェクションスリットノズル
JPH11140652A (ja) * 1997-11-06 1999-05-25 Anelva Corp 成膜処理装置内の付着金属膜のクリーニング方法
JPH11297672A (ja) * 1998-04-09 1999-10-29 Tadahiro Omi シャワープレート、シャワープレート周辺構造及びプロセス装置
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58170982A (ja) * 1982-03-30 1983-10-07 Matsushita Seiko Co Ltd 磁力弁
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5993679A (en) 1997-11-06 1999-11-30 Anelva Corporation Method of cleaning metallic films built up within thin film deposition apparatus
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
CN1278393C (zh) * 2003-04-14 2006-10-04 华邦电子股份有限公司 半导体机台气体反应室的气体配送系统及方法
US7758699B2 (en) 2003-06-26 2010-07-20 Superpower, Inc. Apparatus for and method of continuous HTS tape buffer layer deposition using large scale ion beam assisted deposition
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0897155A (ja) * 1994-09-28 1996-04-12 Sony Corp プラズマ加工方法およびプラズマ発生装置
JPH09186140A (ja) * 1995-10-16 1997-07-15 Applied Materials Inc プラズマプロセスリアクタのガスインジェクションスリットノズル
JPH11140652A (ja) * 1997-11-06 1999-05-25 Anelva Corp 成膜処理装置内の付着金属膜のクリーニング方法
JPH11297672A (ja) * 1998-04-09 1999-10-29 Tadahiro Omi シャワープレート、シャワープレート周辺構造及びプロセス装置
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9435026B2 (en) 2013-03-28 2016-09-06 Tokyo Electron Limited Film deposition apparatus
US9422624B2 (en) 2014-01-24 2016-08-23 Tokyo Electron Limited Heat treatment method
JP2017175053A (ja) * 2016-03-25 2017-09-28 スピードファム株式会社 局所ドライエッチング装置

Also Published As

Publication number Publication date
WO2006107573A2 (en) 2006-10-12
TWI487021B (zh) 2015-06-01
US20060219361A1 (en) 2006-10-05
CN101589172B (zh) 2013-10-23
TW200710985A (en) 2007-03-16
WO2006107573A3 (en) 2009-05-07
US20130025693A1 (en) 2013-01-31
US8298336B2 (en) 2012-10-30
JP5227788B2 (ja) 2013-07-03
KR101235500B1 (ko) 2013-02-20
TW201320182A (zh) 2013-05-16
KR20070122524A (ko) 2007-12-31
CN101589172A (zh) 2009-11-25
TWI392011B (zh) 2013-04-01
US8425682B2 (en) 2013-04-23

Similar Documents

Publication Publication Date Title
JP5227788B2 (ja) 高速剥離下流チャンバ
KR101411674B1 (ko) 포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계
JP4352234B2 (ja) リアクタ組立体および処理方法
TWI650815B (zh) 具有多個電漿配置構件之半導體處理系統
KR100726381B1 (ko) 반도체 처리용 가스 분배 장치
CN107532297B (zh) 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
TWI689613B (zh) 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法
KR100665646B1 (ko) 플라즈마 처리용 가스 주입 시스템
US20140231251A1 (en) Gas supply member, plasma processing apparatus and method of fabricating gas supply member
US9423042B2 (en) Slit valve having increased flow uniformity
US11352696B2 (en) Plasma source and surface treatment method
CN107408486A (zh) 用于循环与选择性材料移除与蚀刻的处理腔室
US20140261803A1 (en) High strip rate downstream chamber
US20060174834A1 (en) Side RF coil and side heater for plasma processing apparatus
JP4502639B2 (ja) シャワープレート、プラズマ処理装置、及び、製品の製造方法
JP2007242777A (ja) プラズマエッチング装置及びプラズマエッチング方法
CN114902386B (zh) 使用氢氟酸和臭氧气体的选择性刻蚀工艺
CN114207767A (zh) 多站半导体处理中的可独立调整流路传导性
KR20180123587A (ko) 웨이퍼 가스방출을 위한 플라즈마 강화 어닐링 챔버
US9386677B1 (en) Plasma concentration apparatus and method
KR100597627B1 (ko) 플라즈마 반응 챔버
KR20060082400A (ko) 플라즈마 발생 시스템

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110704

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111003

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111011

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120717

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121016

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130304

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130318

R150 Certificate of patent or registration of utility model

Ref document number: 5227788

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250