CN101589172B - 高剥除速率的下游腔室 - Google Patents

高剥除速率的下游腔室 Download PDF

Info

Publication number
CN101589172B
CN101589172B CN2006800193065A CN200680019306A CN101589172B CN 101589172 B CN101589172 B CN 101589172B CN 2006800193065 A CN2006800193065 A CN 2006800193065A CN 200680019306 A CN200680019306 A CN 200680019306A CN 101589172 B CN101589172 B CN 101589172B
Authority
CN
China
Prior art keywords
injector
gas
cavity
equipment
passage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006800193065A
Other languages
English (en)
Other versions
CN101589172A (zh
Inventor
I-Y·王
J·W·温妮泽克
D·J·库珀伯格
E·A·埃德尔伯格
R·P·舍比
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101589172A publication Critical patent/CN101589172A/zh
Application granted granted Critical
Publication of CN101589172B publication Critical patent/CN101589172B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种气体腔室(400)包括形成空腔(416)的上(402)和下(404)腔体、晶圆(420)的加热卡盘(430)、远端的气体源(440)和排气单元(450)。气体通过注入器(410)内的通道(412)被注入到空腔(416)中。每个通道都具有多个段,这些段相对彼此弯曲的角度足以基本上防止了进入通道的光线不经过反射就射出通道。通道(412)在靠近卡盘(430)的端点处具有漏斗形的管口。注入器(410)还具有热膨胀减轻槽(716)和在注入器(410)与腔室和气体源(440)的配合表面的小间隙。注入器(410)的温度由冷却通道(412)中的冷却液体以及注入器(410)中的容器中的电加热器控制。上腔体(402)为漏斗形并在靠近卡盘(430)的上腔体(402)端部向下弯曲。

Description

高剥除速率的下游腔室
技术领域
本申请涉及晶圆处理的领域。更具体地,本申请涉及用于晶圆处理的蚀刻腔室(etching chamber)。
背景技术
光阻去除(photoresist removal)(剥除(stripping))为半导体集成电路(IC)制造中经常使用的处理。光阻用于定义晶圆上的特定图案。其用在例如光刻、离子注入和等离子腐蚀(其中不是光阻的材料被去除)过程中。在这些处理后,在继续到下一处理前光阻被从晶圆上去除。
因为光阻剥除经常用于半导体制造加工中,剥除器被设计成具有非常短的处理时间,即,高处理能力,以减小整个晶圆制造成本。尽管有不同的方法增大剥除器的处理能力,它们可归入两个类别:减少开销和改善剥除速率。开销包括晶圆处理时间(wafer handling time)、晶圆被装入其中的腔室(chamber)的抽气时间(pump down time)、所述腔室内部的压力的稳定、晶圆加热、和用希望的气体回填入所述腔室中,所有这些步骤都在使晶圆为特定处理做好准备。剥除速率(striprate)是光阻被多快地去除并从晶圆表面清除的测量标准。剥除速率也确定了晶圆暴露于等离子体下的时间。晶圆暴露于剥除腔室(stripchamber)中的等离子体下的时间一般被最小化以减小对晶圆上的不同电路的电损伤。可通过使用更高的等离子体源功率、更高的晶圆温度、更高的处理气体流动性或改变气体的化学特性来增大剥除速率。
多数剥除器具有进气孔(entrance hole),气体通过该进气孔被注入到含有将要处理的晶圆的腔室中。进气孔与晶圆间的典型垂直距离为几英寸。该距离被最小化以使该腔室很紧凑并且在制造上更经济。为了获得一致的剥除图案,使晶圆表面处的气体垂直流速保持一致。然而,在所用的典型流动速率下,气体在几英寸内不散开。因此,为了在这样的短距离内实现流速一致,使用气体散布系统(gas dispersionsystem)来使气流散布到晶圆上。
如图1所示,已知的剥除器100包含了下游腔室(downstreamchamber)102,在该下游腔室102内,晶圆130暴露于气体中。晶圆130由卡盘120支持(hold)。气体106通过进气孔104进入下游腔室102。在气体106进入腔体时,例如挡板(baffle)110的气体散布系统将气体106散布以使气体106均匀地分布到晶圆120上。剥除一致性和剥除速率很大程度上取决于该气体散布系统。如图1和2所显示,挡板110、200包括许多个不同大小的孔112、202。更具体而言,因为挡板的中心比边缘能接收更多的气流,所以孔的大小随着到挡板中心的距离的增加而增加。气体106在晶圆120上起作用后从出口108排出。
其它剥除器300包含了晶圆330在其中暴露于气体中的下游腔室302,如图3所示。晶圆330由卡盘320支持住。气体306通过进气孔304进入下游腔室302。在气体306进入该腔室时,多个挡板系统隔板式散布气体306以将气体306均匀地分布到晶圆320上。第一挡板310包含与上述类似的两种不同大小的孔312、314。第二挡板316仅包括一种大小的孔,这些孔与第一挡板310中的孔的位置相偏移,从而使经过第一挡板310上的孔的气体分子在离开第二挡板316的孔之前必须经过两个90°的转弯。气体306在晶圆320上起作用后从出口308排出。
尽管并未显示,在另一个散布气体的设计中,使用喷气头(showerhead)。喷气头与挡板类似,然而,孔的数量和大小能使他们产生背压力(back pressure)。这样的设计能产生大约10托或更大的背压力。这些背压力的产生有效地减慢了喷气头上的气流并且减小了流体动力学的效果。
然而,为单个挡板设计最优化孔的大小和图案是复杂的。由于不同大小和大量的孔,单个挡板设计中使用的挡板在制造上也是昂贵的。类似地,尽管多种挡板设计可简化孔的图案,使用多个挡板也增加了腔室的大小和重量,还增加了材料的成本,如果不制造的话。在喷气头的设计中,增大的上游压力不仅降低了气源的离子化效率并且增加了基复合,因此降低了剥除速率。
此外,由挡板或喷气头产生的大表面区域和上部腔室的内部形状允许实际上产生对光阻的剥离的气体中基的快速中和。没有挡板时,剥除速率为有挡板时的两到三倍。这意味着挡板中和了一半以上的由气体源生成的基。
发明内容
气体腔室配备的腔室设计和气体散布部件被设计成能改善气体流动和增加剥除速率,而不需使用昂贵的单个或多个挡板。仅仅作为介绍,在一个实施例中,设备包含:形成空腔的上腔体和下腔体、对空腔提供气体的气体源、通过去除空腔中的气体的排气单元、设置在空腔内的卡盘和包含了从其中延伸穿过的通道的注入器。每条通道都弯曲到足以能基本上阻止进入通道的光线直接出通道,即,阻止进入通道的光线不至少在通道内经过一次反射就出通道。
在另一个实施例中,设备包括气体源和空腔之间的单个固定装置(fixture),气体经过该固定装置进入空腔。固定装置具有的通道有基本上相互垂直的部分。
在另一个实施例中,设备包含了注入(injection)装置,该注入装置用于将气体从气体源通过通道引入空腔,同时阻止气体源的辐射经过通道。在不同的其它实施例中,通道的端部可包括喷射(ejection)装置,其用于调节从通道中以不同于通道角度的角度喷入腔室中的气体的角度;上腔体可包括用于引导由注入装置喷入空腔中的气体的引导装置;和/或注入装置可包括用于消减注入装置的热膨胀的装置、用于消除注入装置与上腔体和气体源其中的至少一个的配合面(matingsurface)的摩擦的装置,和/或用于调节注入装置的温度的装置。
在另一个实施例中,方法包括:将气体通过注入器内的通道朝着晶圆注入到空腔内,通道足够弯曲以防止光线直接通过通道,由上腔体和下腔体形成的空腔;至少利用气体流过的通道的角度、从其喷射气体的通道的端部的角度,和上下腔体的内表面的角度来调节气体流的形状;以及通过排气孔去除已经冲击到晶圆上的气体。
在又一实施例中,至少一个通道的在注入器上段的第一倾斜角,与在注入器下段的第二倾斜角基本上垂直。第一和第二倾斜角中的至少一个可以是从注入器的中心轴倾斜的。第一倾斜角可以是与注入器的中心轴成大约0°到60°,而第二倾斜角可以是与注入器的中心轴倾斜成大约10°到60°。
在另一个实施例中,在至少一条通道一端的管口的直径大于该通道其它部分的直径。管口的直径可随着到通道端部的距离的减小而增大,并且管口可呈漏斗形。与上腔体内表面相邻的管口的端部的角度可与内表面的角度相匹配。内表面可为漏斗形并且与下腔体内表面相邻的上腔体的内表面向下弯曲。上腔体的内表面为漏斗形并且向着卡盘向下弯曲。
在另一个实施例中,注入器具有锥形下部,锥形下部可具有以不同比率逐渐变细的第一和第二区域。上腔体的内表面可与第一和第二区域中的至少一个的锥形角度相匹配。
在又一实施例中,将注入器设置在气体源和空腔之间。注入器可被连到气体源上并接触气体源。O形环可被设置在注入器与气体源之间和注入器与上腔体间,并且注入器包含基本上与至少一个O形环内的注入器的中心轴平行的槽(slot)。可替换地,注入器可包括在以下至少一者之间的O形环内的间隙(gap):注入器的表面与气体源的表面;和注入器的表面与上腔体的表面。
在另一个实施例中,注入器包括允许手动和自动调节注入器温度的温度调节系统。温度调节系统可包括具有注入器中冷却液体的冷却通道,和感测注入器温度的温度传感器和改变注入器温度的电加热器。
以下附图和优选实施例的详细说明将更清楚地说明本发明的这些和其它方面。
附图说明
图1示出已知的单挡板剥除器腔室。
图2示出图1的挡板。
图3示出已知的多挡板剥除器腔室。
图4示出根据一个方面的气体腔室。
图5示出根据一个方面的散布部件的立体图。
图6示出根据第二方面的散布部件的横截面视图。
图7示出根据第三方面的散布部件的横截面视图。
图8示出根据第四方面的散布部件的横截面视图。
图9示出根据第五方面的散布部件的横截面视图。
具体实施方式
说明了气体腔体,其用于改善气体流动和增加置于腔室内的晶圆上的光阻的剥除速率。气体腔室具有专用的上腔体和在腔体周围散布气体并具有非常小的气体暴露的表面区域的气体注入器。并且,气体注入器小于已知的挡板和喷气头,并且由于其尺寸较小和加工处理相对简单且时间短,其制造起来更为经济。术语气体,如此处所使用,包括含有基的气体,即等离子体。
在使用气体的剥除处理中,一般气体具有高流速和高气压。作为一个实例,气体的流速可为在1托下每分钟5标准公升(slm)。对于气体,在此压力下的平均自由路径可由下式得出:
L = kT 2 π Pd 2
其中L为气体的平均自由路径,k为波尔兹曼常数,T为气体的绝对温度,P为压强并且d为气体分子的直径。作为一个实例,氧气分子的平均自由路径在室温下为大约0.06mm。然而,在气体被点燃时,气体温度急剧升高。如果气体温度升高到1000°K,氧气的平均自由路径增加到大约0.2mm。这些值比任何晶圆处理腔室的几何特征小很多。因此,气体流可被处理为其中牛顿气体动力学占主导的粘性流。
高气流速度是高气流处理的直接结果。用于从半导体晶圆的表面上剥除光阻的典型方法需要在1托下的5slm O2/N2的流动速率。在这些流动和压力条件下,离开出口直径为2.5cm的气体源的气体速率例如为大约177m/sec。为了获得统一的剥除图案,在晶圆表面使用统一的垂直的气流。在177m/sec下,气体一般不能在晶圆表面均匀地散布,除非在气流中提供散布单元。
如图4中所显示,气体腔体400包括上和下腔体402和404,远端气体源440和排气单元450。上和下腔体402和404形成其中生成真空的空腔416。置于上和下腔体402和404间的O形环406允许保持真空。气体源440为微波或射频供电的并且激励进入源的处理气体,并且产生等离子体。典型的气体根据需要的处理包括氧气、氮气、氯气、氩气、氙气。气体源440一般包括含有蓝宝石的气体传送管442。
使用螺钉或螺栓将气体源440连接到气体腔室400的上腔体402上。气体源440通过注入口414与上腔体402相通,以使气体通过注入器410中的通道412被向下游传送到上腔体402。在一个实施例中,注入口414具有大约2.5cm的直径,大小与气体源440的典型气体传送管442的大小相同。气体源440最好被冷却,例如通过水被冷却。
气体已经由注入器410散布后,就立即受到上腔体402中的空腔416的壁限制,并均匀地冲击到置于温度受控的卡盘430上的晶圆420上。注入器410、晶圆420和卡盘430设置到由上和下腔体402和404形成的空腔416中。在一个实施例中,空腔的直径为大约33cm到41cm,高度为大约10cm到30cm。尽管晶圆420可具有任何直径,一般在半导体制造中使用6英寸、8英寸或12英寸的晶圆。
在一个实施例中,气体灰化经过之前的处理后剩余的光阻层。之前处理可为任何例如离子注入、蚀刻或金属涂膜的半导体制造处理。气体随后由真空泵458从下腔体404中经由出口408和通过一系列真空组件抽出。这些真空组件包括例如真空管道452、隔离阀454和节流阀456。
在图4中,注入器410位于气体源440的正下方和上腔体402的正上方。注入器410内有多个流通道412。流通道412与上腔体402的中心线相偏离一定角度。成角度的流通道将来自源的气流均匀地分开并且将气流均匀地引向晶圆420。流通道的直径和数目被选择成使它们在晶圆上提供均匀的气体分布而不产生气体源440中的大量背压力。源中的较高背压力可导致较差的气体离子化和很高的基复合。
对于1托的腔室压力和5slm的流速,注入器410在气体源440中产生大约4托的背压力,远远在会严重减少气体源440中产生的基的数目的10托背压力以下。在此实例中,注入器410具有大约46cm2的气体暴露表面面积,其包括注入器410的顶表面、流通道的壁和底表面。作为比较,图1的单挡板结构的表面面积超过2000cm2
尽管由于分子与通道壁的碰撞,基仍可在注入器410的流通道412内复合,但由于流通道412中的通道壁表面较小且气体速率较高,复合是非常少的。尽管流通道412的直径较小,但仍然比在使用的压力和温度下流过的气体的平均自由路径大得多。气体流过流通道412并且在之前所述的流条件下的平均速率为大约260m/sec。在此流速率下,分子仅需要12μs就能流过流通道412。因此在经过流通道412时,仅有少量的基被中和。
在一个实例中,如图5的立体视图所显示,注入器500包含六个流通道502。每一个流通道的直径大约为0.4cm且长度大约为2.7cm。尽管图5显示出六通道注入器,但仍可按需要使用具有更多或更少通道的注入器。例如,图6显示出四通道注入器600。由切面视图可见,注入器的通道包含一个或多个弯曲。每一个通道都弯曲了足够大的角度,以最小化或消除在电离气体的气体源中生成的紫外(UV)线和带电分子,以基本上防止该紫外(UV)线和带电分子直接从通道的入口流到通道的出口。换言之,UV线基本上不会不经过反射就从入口传送到出口。如果不经过适当的阻挡,UV线和带电分子可移动到晶圆并损坏电路。
如图6中所显示,注入器600具有上部610和下部612。上部610基本上为圆柱形并被用于将注入器600连接到远端气体源和上腔体,以下将更详细地进行说明。下部612具有第一和第二区域614和616,第一和第二区域614和616随着到远端气体源的距离的增加而以不同的比率逐渐变细。下部612具有比上部610小的直径。第一和第二区域614和616可具有其它形状,例如球形或圆柱形。类似地,尽管第一和第二区域614和616被显示以不同比率逐渐变细,第一和第二区域614和616可具有相同的斜度(例如,实质上为单一的圆锥形或球形结构)或不具有斜度(例如,实质上为具有一个或多个直径的一个或多个圆柱体的圆柱形)。
另外,每个通道602具有上段604和下段606。下段606包含气体从其喷射出的管口608。除了管口608,通道602的直径基本上保持恒定。管口608具有随着到通道602的端部的距离的减小而增大的直径。在显示的实施例中,管口608实质上为漏斗形。
一个通道的上段604具有相对于注入器600中心轴的倾斜角A,其基本上与通道下部的角度B垂直。下段606的角度确定气体流出流通道602的角度,并且被用来调整晶圆处的流模式。气流在较小角度时更集中于中心,而在大角度时更加散开。不同流和压力条件以及气体类型可使用具有不同角度的注入器,这些角度为实现最好的整体性能而被最优化。例如,角A为相对于注入器600的中心轴成0°到60°之间的角,而角度B为相对于注入器600的中心轴成10°到60°之间的角。
通过为上和下段604和606使用成一定角度的垂直平面,可避免通过通道602的笔直视线。这样,UV线可被阻挡而B角度可变化以便为了剥除均匀性而最优化注入器的设计。此外,为了减少到达晶圆的离子,注入器迫使电离气体流急剧地转弯。急剧的转弯促进壁碰撞并且因此有助于中和离子。这允许离开注入器的离子数目的受控减少。注意到,尽管仅显示出具有单个弯曲的通道(即仅两段),通道可具有多个急剧的弯曲(即多于两段)。可替换地,通道可被弯曲以消除从通道的入口到出口的视线并且迫使气体分子与沿弯曲的表面碰撞。
在其它实例中,注入器的直径可在大约5cm到13cm之间,而厚度在从1cm到13cm之间。在注入器中提供了从3到24个流通道。这些流通道具有的直径在从0.3cm到1cm之间并且长度在从1cm到5cm之间。
剥离均匀性受到腔室中的不同特性影响。注入器的下通道的角度控制气流流出管口的方向,并且这样改变从晶圆中心到边缘的剥除均匀性。管口的喇叭形的出口帮助流出管口的气流散开,并且这样改善了周围的均匀性。
此外,图4中显示的漏斗形上腔体影响在气体流出注入器后的气流模式。上腔体的内表面为连续的,以使流出注入器的气体被限制在上腔体中。漏斗形状减少了在气体已经离开注入器后的气体的回流。漏斗表面在到达下腔体(或晶圆的边缘)时向下弯曲,进一步限制并引导气体以控制晶圆边缘处的剥除速率。
与由图1和3中显示的圆柱形上腔体使用容积相比,上腔体的顶部的漏斗形状减少了由上和下腔体形成的空间的容积。这减少了在处理过程中将腔室从大气压力抽气到所用压力的过程所需的时间量,也减少了排出到大气中所需的时间量。一些剥除腔体对每一个处理的晶圆使用抽气和排气,导致处理能力的大幅度降低,即对批量晶圆的处理时间的大幅度增加。设计成聚集在中心晶圆转移真空腔室周围的其它剥除腔室利用部分排气达到高于处理压力的压力以改善卡盘和晶圆间的热传递。在晶圆加热完成后,腔体随后被抽气到处理压力。
对注入器温度的控制有助于实现一致的处理结果。例如,在注入器表面上复合的气体基的表面复合效率随着表面的温度而变化。根据气体的化学特性,复合速率可能与温度成正比或可与温度成反比。然而,由于图1和3所显示的典型挡板的大小,难于调节典型挡板的温度。在挡板的温度变化时,处理结果可随晶圆不同而不同。也难于保持挡板温度的均匀性。对于图1和3中显示的腔室,由于挡板中心处直接位于等离子体源下方并且接收到比挡板其它区域多的热量,挡板中心的温度较高。不均匀的温度使挡板表面具有不均匀的基复合效率,这使得处理更加复杂。
然而,由于注入器比典型的挡板小很多,所以更容易控制注入器的温度。图7示出气体腔室700的一个实施例的弥合剖面视图。气体腔室700包括上腔体702、注入器710和气体源750。气体源750被通过螺钉730连接到上腔体702。类似地,注入器710通过螺钉740连接到气体源750。气体源750生成等离子体752,其通过注入器710中的通道712供应到上腔体702。气体源750包括上真空O形环720被置于其中的凹部,而上腔体702包括下真空O形环被置于其中的凹部。注入器710也包括槽716和间隙718,如下所述。
如图7所显示,为了使温度受到控制,注入器710被设计成具有在大气压力下的较大热接触区域。热接触区域为真空O形环720、722外的注入器710的区域。螺钉730和740使注入器710和气体源750/上腔体702之间能够紧密接触从而产生热接触区域和气体源750间的良好的热传递路径。从等离子体752接收的热能被通过热接触区域传递到气体源750或到上腔体702。此能量的转移为足够有效的以将注入器维持在需要的温度或需要的温度以下。
如图8所显示,注入器800也可由一个或多个冷却通道820形成,冷却通道820包括允许除去大量热量的冷却液体822。为了保持注入器800在恒定温度下,冷却液体820可通过温度控制单元(未显示出)循环。注入器温度可随后通过在温度控制单元设置冷却液体822的温度来控制。每个冷却通道中的冷却液体可为相同的或不同的。
如果需要主动的温度控制,可使用加热和冷却的组合。如图9中显示的电加热器960可被插入注入器900,与冷却通道920分开。电加热器可为例如电阻器。温度控制器950可被用于控制流到电加热器960的电流以调节注入器910的温度。加热器960可单独控制或成一个或多个组地控制。此外,可将一个或多个温度传感器970插入注入器900。温度传感器970可为例如热电偶或电阻温度检测器(RTD)。可替换地,热电元件可被用于控制注入器的温度,替换加热器和冷却通道。
除了处理的不同,气体腔室中的不同部件的温度改变可产生其它问题。例如,即使具有相对好的热传递,注入器温度仍然高于配合部分(例如,气体源和上腔体)的温度。注入器和注入器区域的配合部分间的热膨胀不匹配产生机械应力。这种机械应力可使注入器或配合部分发生形变或使其损坏。为了减少机械应力,一个或多个槽716被形成在注入器710中。槽716为注入器710的每一侧上的圆形垂直槽,其用作热膨胀减轻槽。
此外,热的不匹配可产生粒子污染。在注入器加热和冷却时,其相对于配合部分扩展和收缩。结果,注入器和配和部分的配合表面间产生摩擦。摩擦产生粒子,其如果被引入将对腔室内的晶圆有害。为了防止配合表面的摩擦,在真空O形环720和722内的配合表面间引入0.13mm或更小的间隙718。尽管间隙可被提供在O形环720和722外的区域中,它们未在图7中显示,因为O形环720和722有效地防止O形环720和722外的粒子进入腔室700。
注入器和上下腔体可使用抗等离子体材料制造。抗等离子体材料可由金属的或非金属材料形成。如果一种或多种金属被用于形成注入器,注入器可包括例如铝和铝合金、不锈钢和高镍合金、石英、氧化铝陶瓷、氮化铝陶瓷和/或氧化钇陶瓷。
使用金属制造的部分可由防等离子体涂层保护起来以防止腐蚀。在一个实例中,可使用铝,因其自然表面氧化物能提供非常好的腐蚀屏障。然而,在使用基于氟的化学物质并且在特定处理条件下,氧化铝不提供足够的保护以防止形成对晶圆产生污染的氟化铝。为了防止在金属部分上形成金属氟化物,可在金属部分的表面涂上具有较好地防氟化学物质的涂层。例如在铝和其合金上的阳极氧化和等离子喷涂氧化铝、镀镍、石英、氧化钇和/或其它陶瓷材料的涂层可被用于保护以防止多种化学物质。
再次参照图4,晶圆420位于腔室内的晶圆加热卡盘430上。在进行剥除处理前,晶圆被加热到足够高的温度以加速化学反应。晶圆加热并不是可有可无的,因为剥除均匀性直接与晶圆的温度均匀性相关。晶圆被尽可能快地加热以减小晶圆在不生产的腔室内的时间。尽管可在剥除应用情况中应用静电卡盘,但它们昂贵且可能不可靠。然而,静电卡盘具有将晶圆拉向离卡盘更近以便有好的热传导的电引导钳力,这是非静电卡盘所不具有的。一种减少此问题的方法为控制在特定量下的卡盘的平坦度。在一个实例中,为了在使用非静电卡盘时提供快速的热传导和均匀的晶圆温度,非静电加热器卡盘具有比大约27μm更好的全局平坦度。
此外,对腔体抽气影响晶圆上的光阻的剥除速率。剥离处理通常为高流动性(例如,几slm)和高压力(750m托或更高)。因此,剥离处理不完全处于粘性流状态或分子流状态。为了提供均匀的抽气,单个泵口408被置于下腔体404的中心。
其它系统可被包括在腔室内以改善处理结果。例如,光谱终点检测器为一种这样的系统。窄带或宽带光波长检测器被附在腔室侧面的观察端口上以便能直接看到晶圆平面上的大多数等离子体。在晶圆表面处光阻和等离子体间的化学反应放出特定特性的谱。一旦光阻被用尽,此谱立即变化。此光信号改变能确定剥除处理的结束。终点检测已经很成熟而足以确定例如高剂量注入保护层去除的多层剥除处理的转换。由于注入处理,这种类型的保护层具有硬壳。设计成打破硬壳的化学反应与设计成剥除硬壳下的剩余保护层的化学反应不同。由于在壳已经被蚀刻没时光谱会改变,通过适当的设置,光检测器能确定此转换。信号的改变允许软件改变等离子体的物质组成并且转换到用于去除大多数保护层的不同配方。然而,例如上述光谱终点检测器的系统增加了成本、重量和尺寸。
已经说明了一种包含具有通道的单个注入器的气体腔室,气体通过通道进入真空腔室中。通道具有基本上相互垂直的部分。这些部分被设置成与注入器的中心轴所成的角度最大为60°。通道具有漏斗形状的端部。腔室具有与注入器漏斗形状端部的角度相匹配的锥形的上部,并且散布从注入器喷射出的气体。注入器较小并且制造起来相对简单。
尽管已说明了特定实施例,但此处的说明仅为示例的并且不被解释为限制本发明。对本领域的技术人员可出现多种应用情况和多种变更,例如材料和/或尺寸上的不同,而不会偏离所附权利要求中限定的本发明的实质和范围。

Claims (23)

1.一种用于将气体传送到基板的设备,所述设备包括:
形成空腔的上和下腔体;
与所述空腔流体连通的气体源;
适于去除所述空腔中的气体的排气单元;
设置在所述空腔中的卡盘;和
注入器,其包括多个从其中延伸穿过的连续通道,每一个通道都与所述空腔和所述气体源连通,其中每一个通道都彼此独立并弯曲成足以基本上防止光线不经过反射就通过所述通道。
2.如权利要求1所述的设备,其中,至少一个所述通道具有在所述注入器的上段的第一倾斜角,所述第一倾斜角基本上与所述注入器的下段的第二倾斜角垂直。
3.如权利要求2所述的设备,其中,所述第一和第二倾斜角中的至少一个是从所述注入器的中心轴倾斜的。
4.如权利要求3所述的设备,其中所述第一倾斜角与所述注入器的中心轴成0°到60°而所述第二倾斜角与所述注入器的中心轴成10°到60°。
5.如权利要求1所述的设备,其中,在所述通道中的至少一个通道的端的管口基本上为漏斗形。
6.如权利要求5所述的设备,其中,在与所述上腔体内表面相邻的所述管口的所述端部的角度与所述内表面的角度相匹配。
7.如权利要求6所述的设备,其中,所述内表面为漏斗形状。
8.如权利要求1所述的设备,其中,所述注入器具有锥形下部。
9.如权利要求8所述的设备,其中,所述锥形下部具有以不同比率逐渐变细的第一和第二区域。
10.如权利要求9所述的设备,其中所述上腔体的内表面与所述第一和第二区域中的至少一个的锥形角度相匹配。
11.如权利要求1所述的设备,其中所述注入器接触所述气体源。
12.如权利要求11所述的设备,还包括在所述注入器和所述气体源之间和在所述注入器和所述上腔体之间的O形环,其中,所述注入器包括在至少一个所述O形环内的槽,所述槽基本上与所述注入器的中心轴平行。
13.如权利要求11所述的设备,还包括在所述注入器和所述气体源之间和在所述注入器和所述上腔体之间的O形环,其中,所述注入器包括在所述O形环内的间隙,所述间隙位于以下的至少其一之间:
所述注入器的表面和所述气体源的表面;和
所述注入器的表面和所述上腔体的表面。
14.如权利要求1所述的设备,其中,所述注入器还包括允许手动或自动调节所述注入器的温度的温度调节系统。
15.如权利要求14所述的设备,其中所述温度调节系统包括在所述注入器中的冷却通道,所述冷却通道包含冷却液体。
16.如权利要求15所述的设备,其中,所述温度调节系统还包括感测所述注入器的温度的温度传感器和改变所述注入器的所述温度的电加热器。
17.一种处理晶圆的方法,所述方法包括:
通过注入器中的通道向着所述晶圆将气体注入到空腔内,所述通道弯曲成足以基本上防止光线不经过反射就通过所述通道,所述空腔由上和下腔体形成;
至少使用所述气体流过的所述通道的角度、从其喷出所述气体的所述通道的端部的角度和所述上和下腔体的内表面的角度来定形所述气体的气流;和
通过排气孔去除己经冲击到所述晶圆的气体。
18.如权利要求17所述的方法,还包括使所述通道的每一个具有基本上垂直的弯曲,每个弯曲都与所述注入器的中心轴成至多60°的角。
19.如权利要求17所述的方法,还包括在所述通道的每一个的端部提供漏斗形的管口。
20.如权利要求19所述的方法,还包括为所述上腔体配备漏斗形的内表面。
21.如权利要求17所述的方法,还包括为所述注入器配备槽,以吸收所述注入器的热膨胀。
22.如权利要求17所述的方法,还包括在所述上腔体和供应所述气体的气体源的至少一者与所述注入器的配合表面之间提供间隙。
23.如权利要求17所述的方法,还包括控制所述注入器的温度。
CN2006800193065A 2005-04-01 2006-03-20 高剥除速率的下游腔室 Active CN101589172B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/096,820 US8298336B2 (en) 2005-04-01 2005-04-01 High strip rate downstream chamber
US11/096,820 2005-04-01
PCT/US2006/009972 WO2006107573A2 (en) 2005-04-01 2006-03-20 High strip rate downstream chamber

Publications (2)

Publication Number Publication Date
CN101589172A CN101589172A (zh) 2009-11-25
CN101589172B true CN101589172B (zh) 2013-10-23

Family

ID=37068918

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800193065A Active CN101589172B (zh) 2005-04-01 2006-03-20 高剥除速率的下游腔室

Country Status (6)

Country Link
US (2) US8298336B2 (zh)
JP (1) JP5227788B2 (zh)
KR (1) KR101235500B1 (zh)
CN (1) CN101589172B (zh)
TW (2) TWI487021B (zh)
WO (1) WO2006107573A2 (zh)

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7562638B2 (en) * 2005-12-23 2009-07-21 Lam Research Corporation Methods and arrangement for implementing highly efficient plasma traps
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
NL1035846A1 (nl) * 2007-08-23 2009-02-24 Asml Netherlands Bv Radiation source.
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
JP5474291B2 (ja) * 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US20090217950A1 (en) * 2008-03-03 2009-09-03 Multimetrixs, Llc Method and apparatus for foam-assisted wafer cleaning
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
WO2010004836A1 (ja) * 2008-07-09 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011100293A2 (en) * 2010-02-12 2011-08-18 Applied Materials, Inc. Process chamber gas flow improvements
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9120344B2 (en) * 2011-08-09 2015-09-01 Kateeva, Inc. Apparatus and method for control of print gap
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
DE102012107282A1 (de) * 2012-01-17 2013-07-18 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
JP5965680B2 (ja) * 2012-03-08 2016-08-10 東京エレクトロン株式会社 処理室内部品の冷却方法、処理室内部品冷却プログラム、及び記憶媒体
KR20220039837A (ko) * 2012-08-08 2022-03-29 카티바, 인크. 프린팅 시스템
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103871815A (zh) * 2012-12-11 2014-06-18 旺宏电子股份有限公司 半导体处理装置及处理半导体晶圆的方法
JP6046752B2 (ja) * 2013-01-30 2016-12-21 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
JP6115244B2 (ja) 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6237264B2 (ja) 2014-01-24 2017-11-29 東京エレクトロン株式会社 縦型熱処理装置、熱処理方法及び記憶媒体
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9865437B2 (en) 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935466B (zh) * 2015-12-30 2018-10-30 中微半导体设备(上海)有限公司 气体分配系统及等离子体祛光刻胶装置及其气体分配方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP2019161165A (ja) * 2018-03-16 2019-09-19 東京エレクトロン株式会社 プラズマ処理装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11629409B2 (en) * 2019-05-28 2023-04-18 Applied Materials, Inc. Inline microwave batch degas chamber
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11814716B2 (en) * 2019-11-27 2023-11-14 Applied Materials, Inc. Faceplate having blocked center hole
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11810764B2 (en) 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115502067B (zh) * 2022-10-25 2023-09-26 长鑫存储技术有限公司 加热装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
CN1538507A (zh) * 2003-04-14 2004-10-20 华邦电子股份有限公司 半导体机台气体反应室的气体配送系统及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58170982A (ja) * 1982-03-30 1983-10-07 Matsushita Seiko Co Ltd 磁力弁
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3339200B2 (ja) 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5993679A (en) * 1997-11-06 1999-11-30 Anelva Corporation Method of cleaning metallic films built up within thin film deposition apparatus
JP4049423B2 (ja) 1997-11-06 2008-02-20 キヤノンアネルバ株式会社 成膜処理装置内の付着金属膜のクリーニング方法
JP4124383B2 (ja) 1998-04-09 2008-07-23 財団法人国際科学振興財団 マイクロ波励起プラズマ装置用のシャワープレート及びマイクロ波励起プラズマ装置
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US7758699B2 (en) * 2003-06-26 2010-07-20 Superpower, Inc. Apparatus for and method of continuous HTS tape buffer layer deposition using large scale ion beam assisted deposition
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
CN1538507A (zh) * 2003-04-14 2004-10-20 华邦电子股份有限公司 半导体机台气体反应室的气体配送系统及方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
.

Also Published As

Publication number Publication date
CN101589172A (zh) 2009-11-25
JP5227788B2 (ja) 2013-07-03
TW200710985A (en) 2007-03-16
US20060219361A1 (en) 2006-10-05
US8298336B2 (en) 2012-10-30
US20130025693A1 (en) 2013-01-31
TW201320182A (zh) 2013-05-16
WO2006107573A3 (en) 2009-05-07
US8425682B2 (en) 2013-04-23
KR101235500B1 (ko) 2013-02-20
JP2008538256A (ja) 2008-10-16
TWI392011B (zh) 2013-04-01
KR20070122524A (ko) 2007-12-31
TWI487021B (zh) 2015-06-01
WO2006107573A2 (en) 2006-10-12

Similar Documents

Publication Publication Date Title
CN101589172B (zh) 高剥除速率的下游腔室
TWI428713B (zh) 高腔室溫度製程及用於光阻剝除及後金屬蝕刻鈍化之腔室設計
JP6752205B2 (ja) トロイダルプラズマ源を有するプラズマ処理システムおよびプラズマ処理の方法
US7134946B1 (en) Apparatus to treat and inspect a substrate
CN107004562B (zh) 直接出口环状等离子体源
CN107123733B (zh) 利用低温晶片温度的离子束蚀刻
US11352696B2 (en) Plasma source and surface treatment method
US7217336B2 (en) Directed gas injection apparatus for semiconductor processing
US20070218204A1 (en) Apparatus and process for surface treatment of substrate using an activated reactive gas
TW201719801A (zh) 在半導體製造中可流動沈積之系統及裝置
WO2000005749A2 (en) Method and apparatus for anisotropic etching
KR20020027375A (ko) 반도체 처리용 가스 분배 장치
CN101414537A (zh) 可调谐的多区气体喷射系统
HUT73857A (en) Process for removing surface contaminants by irradiation and a device for implementing said process
TW201015653A (en) Plasma processing apparatus and plasma processing method
KR101343230B1 (ko) 엑시머 램프 장치
US7103443B2 (en) Directed gas injection apparatus for semiconductor processing
TW202120738A (zh) 具有背側泵送的熱處理腔室蓋
JP2005033167A (ja) シャワープレート、プラズマ処理装置、及び、製品の製造方法
TWI588861B (zh) 氣體團簇離子束噴嘴構件
CZ2016603A3 (cs) Způsob řízení rychlosti depozice tenkých vrstev ve vakuovém vícetryskovém plazmovém systému a zařízení k provádění tohoto způsobu
US20030102013A1 (en) Prophylactic process and apparatus for a substrate treated with an impingement spray
US20240141482A1 (en) Nozzle for remote plasma cleaning of process chambers
TW486919B (en) Gas distribution plate for plasma treatment
WO2003002860A2 (en) Directed gas injection apparatus for semiconductor processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant